Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16597 Discussions

add new library into the uartus-II

Altera_Forum
Honored Contributor II
1,168 Views

Hello  

 

can any one help to my problem 

 

I have code for p89c51 , it have two libraries one is IEEE and other is FMF. This code uses two files from FMF library 1.gen_utils 2.conversions. I have downloaded code for these FMF library functions and put into vhdl folder next to IEEE library.  

 

In my project I have given path to this FSM folder. 

 

while I run project, Still i am getting following two messages 

 

Error (10481): VHDL Use Clause error at p89c51.vhd(35): design library "FMF" does not contain primary unit "gen_utils" 

Error (10481): VHDL Use Clause error at p89c51.vhd(36): design library "FMF" does not contain primary unit "conversions" 

 

how to resolve this issure.. 

 

regards 

kalyan
0 Kudos
1 Reply
Altera_Forum
Honored Contributor II
432 Views

I think you're using User Library in Quartus, which is very different than a VHDL library. Please look at the following, as it might answer your question: 

http://www.alteraforum.com/forum/showthread.php?t=280&highlight=vhdl+library
0 Kudos
Reply