- Mark as New
- Bookmark
- Subscribe
- Mute
- Subscribe to RSS Feed
- Permalink
- Report Inappropriate Content
I have written the following program to determine the square root of a number but i m getting difficulty how to display the result ? I have used the mega functions to find the square but unable to proceed as to how to go about displaying the decimal number say square root( 2) = 1.414 on the DE1 board .
will be really thankful if some one could help ! library ieee; USE ieee.std_logic_1164.all; Entity squareroot is port ( clock_50 :in std_logic ; SW : in std_logic vector (9 downto 0); HEX3, HEX2,HEX1,HEX0 : out std_logic vector (6 downto 0); End squareroot; architecture topelevel of squareroot is Component integertoFloatingpoint IS PORT ( clock : IN STD_LOGIC ; dataa : IN STD_LOGIC_VECTOR (31 DOWNTO 0); result : OUT STD_LOGIC_VECTOR (31 downto 0 ); END integertoFloatingpoint; Component squarerootTofloatingpoint IS PORT ( clock : IN STD_LOGIC ; data : IN STD_LOGIC_VECTOR (31 DOWNTO 0); result : OUT STD_LOGIC_VECTOR (31 DOWNTO 0) ); END squarerootTofloatingpoint; Component flotaingpointTofixedpoint IS PORT ( clock : IN STD_LOGIC ; dataa : IN STD_LOGIC_VECTOR (31 DOWNTO 0); result : OUT STD_LOGIC_VECTOR (31 DOWNTO 0) ); END flotaingpointTofixedpoint;Link Copied
2 Replies
- Mark as New
- Bookmark
- Subscribe
- Mute
- Subscribe to RSS Feed
- Permalink
- Report Inappropriate Content
you will need to work out the number in base ten to display it like you want.
- Mark as New
- Bookmark
- Subscribe
- Mute
- Subscribe to RSS Feed
- Permalink
- Report Inappropriate Content
You also need to feed in the input from somewhere!
If you are just doing experiments it might be sensible to add a nios cpu and put your logic as an Avalon slave. Then you can use the JTAG UART for IO.
Reply
Topic Options
- Subscribe to RSS Feed
- Mark Topic as New
- Mark Topic as Read
- Float this Topic for Current User
- Bookmark
- Subscribe
- Printer Friendly Page