Nios® V/II Embedded Design Suite (EDS)
Support for Embedded Development Tools, Processors (SoCs and Nios® V/II processor), Embedded Development Suites (EDSs), Boot and Configuration, Operating Systems, C and C++
12606 Discussions

„Interface to User Logic“ and IRQ (level/edge)

Altera_Forum
Honored Contributor II
1,319 Views

Hello, 

 

I would like to edit the IRQ type (edge/level) for “Interface to User Logic”. What’s the standard IRQ type? Is there more information about this topic? I didn’t find something useful in the forum or in the web. It should also be possible to edit the IRQ type in a PTF file. 

 

 

Thanks for your help, 

niosIIuser
0 Kudos
3 Replies
Altera_Forum
Honored Contributor II
442 Views

The Nios II processor requires level triggered, active high, interrupts.

0 Kudos
Altera_Forum
Honored Contributor II
442 Views

Hello wombat, 

 

Thank you for this information. So there is no way to set up the IRQ inputs? Where did you get the information? 

 

Bye, 

niosIIuser
0 Kudos
Altera_Forum
Honored Contributor II
442 Views

I don't know whether it's possible to get the interface to user logic to automatically convert edge triggered interrupts into levels, but its fairly easy to do it yourself. 

 

You need to latch the fact that the interrupt has occurred and then write to a slave port register to clear your latched value. But you probably know that already (I'm stating the obvious in case others are reading this).
0 Kudos
Reply