Programmable Devices
CPLDs, FPGAs, SoC FPGAs, Configuration, and Transceivers
20702 Discussions

Arria 10 soc development kit pin assignment

Altera_Forum
Honored Contributor II
1,351 Views

Hello, I use the arria 10 soc development kit.  

 

However, when I read user_guide of arria 10 soc document, I don't find some pin assignment of board. 

 

For example, USER_HPS_LED is assigned N22 but i don't find this information.(I find this golden_top example code) 

 

where can I find all information pin assignment about arria 10 soc board?
0 Kudos
6 Replies
Altera_Forum
Honored Contributor II
466 Views

Hi, 

The peripheral pins are programmable through pin multiplexors & each pin have multiple functions so Configuration of each pin is done during HPS configuration. 

Refer attached pin assignment documents & Arria 10 Hard Processor System Pin Information (XLS: Line 24) from following link, 

https://www.altera.com/support/literature/lit-dp.html?category=arria%252010&showspreadsheet=y 

 

 

Best Regards 

Vikas Jathar  

(This message was posted on behalf of Intel Corporation
0 Kudos
Altera_Forum
Honored Contributor II
466 Views

thanks for your reply, but i don't understand well. 

 

I know that pin has multiple function so i need to set about mux control signal. However, i don't understand how to check that information and how to use excel file. 

 

For example, I find the USER_PB_HPS0 has I/O bank 2 and I/O standard 2.5V(Borad Reference is A2). However I need more specific information about pin assignment. 

 

could you give me the example about how to assign USER_PB_HPS0 pin? 

 

thanks.
0 Kudos
Altera_Forum
Honored Contributor II
466 Views

When you parameterize the HPS, you specify which pins to use for which functions. Add the HPS to a design and go through its IP Parameter Editor to see.

0 Kudos
Altera_Forum
Honored Contributor II
466 Views

I add HPS ip this project but i don't find any parameter about led, push button or dip switches. 

 

I want implement nios 2 soft core. I connect nios and jtag-uart cable and on chip ram. just remain pin assignment. 

 

i have 2 arria 10 board. One is arria 10 development kit(10AX115S2F45I1SG), the other is arria 10 soc borad(10AS066N3F40E2SG). 

 

when i try this arria 10 board, i did not encounter this problem that elf download error. 

 

So, i try make bsf file and connect VCC to reset pin and assign clk. However elf download error occur again. 

 

plese tell me how to solve this problem and how to design hps IP parameter. 

 

thanks
0 Kudos
Altera_Forum
Honored Contributor II
466 Views

You're not going to find parameters about hardware on your board or a dev kit. The pin selections you make in the IP Parameter Editor are for choosing which peripherals that are part of the HPS are exposed on the I/O pins, such as ethernet, hard memory controller, USB, SPI, etc.

0 Kudos
Altera_Forum
Honored Contributor II
466 Views

thanks for your comment. I fixed my problem!

0 Kudos
Reply