Programmable Devices
CPLDs, FPGAs, SoC FPGAs, Configuration, and Transceivers
20751 Discussions

Cyclone2 not working - new design - Any suggestions?

Altera_Forum
Honored Contributor II
1,132 Views

Hi 

 

I have a new design with an EP2C5Q208C7 CycloneII on it. I use a byteblaster to program it via JTAG and it tells me it was programmed successfully but it does not appear to do anything. I have 4 LED's with their Anodes connected to the IO and they just glow dimly even if I configure them to be off. (Yes I know it should have been the cathodes, but this chip can supply the required current and it works like this on a different PCB) The device seems to be drawing very little current almost as if it is been held in 'Reset mode' I have done some measurements on the following pins and get these voltages: 

MSEL 0 & 1 = 0v 

nConfig = 3.3v 

nStatus = 2.8V 

Conf_Done = 0v 

nCe = 0v 

 

This is after power up. The pins above are connected as per Altera documentation regarding resistors etc. Also I noticed that TDO has about 0.8 v on it although on a different design PCB it has 3.3.  

 

Any suggestions as to what else I could check??:mad:
0 Kudos
5 Replies
Altera_Forum
Honored Contributor II
225 Views

Apparently the chip is in unconfigured state with weak pull-ups causing the LEDs to glow a bit. If the power supply is O.K., there may be an issue with configuration related pins. Did you pay attention to all requirements in this regards, e. g. necessary pull-up or pull-down resistors, setting defined level to some additional pins as said in the device handbook? See: Figure 13–22. jtag configuration of a single device using a download cable and respective notes.

0 Kudos
Altera_Forum
Honored Contributor II
225 Views

Check your Core and I/O voltages. I've seen contention drag power supplies down after config and the device does not function.

0 Kudos
Altera_Forum
Honored Contributor II
225 Views

Also you may check your power supplies rising waveforms at power-up. I've seen strange behaviours like this when some of the power supply pins have a voltage overshoot before going to their steady-state voltage.

0 Kudos
Altera_Forum
Honored Contributor II
225 Views

As far as I can tell I did connect all the pull ups and downs as per Altera data. But mistakes can be made so I guess I will be spending time this weekend checking out the various suggestions... thanks guys I will let you know what I find

0 Kudos
Altera_Forum
Honored Contributor II
225 Views

OK figured it out. I have an EPCS4 device on the pcb as well. I had to set the "halt on-chip configuration controller" to on. This allowed me to program the Cyclone. Thanks again for the suggestions

0 Kudos
Reply