Programmable Devices
CPLDs, FPGAs, SoC FPGAs, Configuration, and Transceivers
20777 Discussions

Error: Node instance "U1" instantiates undefined entity

Altera_Forum
Honored Contributor II
2,480 Views

I have a verilog code working with multiple files. I use synopsys library DW01_addsub, DW02_mult, DW01_add, DW01_sub and DW03_pipe_reg so that the resource can be optimal. 

 

When I tried to start Alalysis & Elaboration (Quartus II version 10.1), there are many errors: 

 

Error: Node instance "U1" instantiates undefined entity "DW01_addsub" 

Error: Node instance "mult" instantiates undefined entity "DW02_mult" 

Error: Node instance "add" instantiates undefined entity "DW01_add" 

Error: Node instance "sub" instantiates undefined entity "DW01_sub" 

Error: Node instance "U1" instantiates undefined entity "DW03_pipe_reg" 

 

I think there might be because of no link (path) to the synopsys library DW, is it right? 

How to link with the synopsys library?
0 Kudos
2 Replies
Altera_Forum
Honored Contributor II
1,421 Views

Yes that the problem. If you have the verilog/vhdl source for the library elements you add them through assignment->settings->Libraries 

 

Pete
0 Kudos
Altera_Forum
Honored Contributor II
1,421 Views

I provide this path "c:/altera/10.1/quartus/eda/synopsys/dw/lib" in both Global Libraries and Project Libraries. It is still show the errors.  

 

Did I provide the right path? or any other ideas?
0 Kudos
Reply