Programmable Devices
CPLDs, FPGAs, SoC FPGAs, Configuration, and Transceivers
20645 Discussions

MAX10 ADC device ANAIN1 and bank 1A

Broddo
New Contributor I
849 Views

I have a single supply max10 10m50 (144 pin) and I need to use the modular ADC IP. I only need one ADC channel in the design - the signal is connected ANAIN1 pin.

 

I'm not using any part of bank 1A for the ADC but I am using these pins for digital IO. Quartus cannot compile the design saying:

 

176310 Can't place multiple pins assigned to pin location Pin_8...

I understand that I cannot use any pin of bank 1A for digital IO if it is assigned to the ADC. However, I currently don't have bank 1A assigned to the ADC - all other channels apart from ANAIN1 are disabled in the modular ADC IP.

 

My question: is it possible to just use the ADC with ANAIN1 and leave bank 1A set to digital IO? If so, can you help me configure this correctly?

 

Many thanks!

0 Kudos
2 Replies
YuanLi_S_Intel
Employee
764 Views
Broddo
New Contributor I
764 Views

Thanks for the reply. I had missed this in the data sheet. I'll have to rethink my design!

0 Kudos
Reply