Programmable Devices
CPLDs, FPGAs, SoC FPGAs, Configuration, and Transceivers
20703 Discussions

Please Help! Getting Compilation Error in Quartus

Altera_Forum
Honored Contributor II
1,323 Views

Hi I'm new to QuartusII and also VHDL, I'm trying to compile an IIR filter for a MAXII device (EPM1270F256C5), but I'm getting this error: 

 

"Error (10414): VHDL Unsupported Feature error at filter.vhd(34): cannot synthesize non-constant real objects or values" 

 

The file that I'm trying to compile is:
0 Kudos
6 Replies
Altera_Forum
Honored Contributor II
386 Views

Your code has floating point type, which is more for DSP processors. You have to use fixed-point to approximate the REAL value.

0 Kudos
Altera_Forum
Honored Contributor II
386 Views

how can I convert floating point to fixed point?

0 Kudos
Altera_Forum
Honored Contributor II
386 Views

You can manually convert them 

 

OR 

 

Write Matlab script to do that 

 

Either case, you need to determine how may bits you need to use for. But this is a topic for DSP. Once you setting down on number of bit in the system. You need to run simulation probably in Matlab to verify the filter response.
0 Kudos
Altera_Forum
Honored Contributor II
386 Views

I got it now, thanks.

0 Kudos
Altera_Forum
Honored Contributor II
386 Views

you can also take a look at the VHDL-2008 fixed point package. there's a version floating around that compiles in QII in VHDL-1993 mode. the package has features to convert float data to fixed point

0 Kudos
Altera_Forum
Honored Contributor II
386 Views

Sorry, I am not that experienced with VHDL-2008. Thanks for bring that up. Quartus II 9.1 SP1 or later supports VHDL-2008. I don't know if it supports the WHOLE VHDL-2008 yet.

0 Kudos
Reply