- Mark as New
- Bookmark
- Subscribe
- Mute
- Subscribe to RSS Feed
- Permalink
- Report Inappropriate Content
I'm working with the Cyclone V GT development board and have not yet been able to configure the FPGA via Quartus II.
I'm quite new to FPGA programming, but I should say that I've successfully programmed an Altera CPLD as well as an Altera Cyclone V GX (on the terASIC Cyclone V GX FPGA development board), both using Quartus II. I'm using Quartus II Web Edition v15.0 (I was originally using v13.0sp1, but the Cyclone V chip on the GT dev board is not on the list of supported devices, so I upgraded to v15.0). I'm on a Win7 computer. I have a very simple piece of VHDL code (below) that turns on a user-defined LED in response to a user-defined push-button. This code was successfully tested on the GX dev board (with modifications for the LED and PushButton pin numbers). The code compiles in Quartus II v15.0, and I've done the pin mapping. Once the compilation is complete, I open Programmer, choose "USB-BlasterII [USB-1]" under "Hardware Setup" and then hit the "Add file" button and select the "led_button.sof" file in the "output_files" subdirectory of my project directory. I check the "Program/Configure" button and then push "Start". But the progress bar immediately says "(Failed)". I'll note that my steps in Programmer are slightly different that what's recommended in the User Guide for the Cyclone V GT dev board, which says: --- Quote Start --- Configuring the FPGA Using the Quartus II Programmer 1. Start the Quartus II Programmer. 2. Click Auto Detect to display the devices in the JTAG chain. 3. Click Add File and select the path to the desired .sof. 4. Turn on the Program/Configure option for the added file. 5. Click Start to download the selected file to the FPGA. Configuration is complete when the progress bar reaches 100%. --- Quote End --- i.e. I don't do "Auto Detect" since I have already selected my FPGA part number when I created the project (via "New Project Wizard"). FWIW, I didn't use the Auto Detect feature when I successfully configured the CPLD or Cyclone V GX FPGA... I have all DIP switches on the Cyclone V GT dev board set to the factory defaults. Also, I think that the USB Blaster drivers are installed correctly -- in Device Manager, I see a "JTAG Cables" listing showing the Altera USB-BlasterII listing (2 of them actually, one says "JTAG Interface", the other says "System Console Interface"). Any help is greatly appreciated. Here is my simple VHDL code.library ieee;
use ieee.std_logic_1164.all;
entity led_button is
port(
LED0: out std_logic; -- PIN_AM23
PUSH0: in std_logic -- PIN_AK13
);
end led_button;
architecture rtl of led_button is
begin
LED0 <= PUSH0;
end rtl;
Link Copied
- Mark as New
- Bookmark
- Subscribe
- Mute
- Subscribe to RSS Feed
- Permalink
- Report Inappropriate Content
Before trying to load a project you've built, load a file from one of the examples that came with the board. This will confirm that you have good hardware and your JTAG drivers are working. Next, try building the project and loading it to confirm that your Quartus installation is good. After that, make a small addition to the project to confirm that.
- Mark as New
- Bookmark
- Subscribe
- Mute
- Subscribe to RSS Feed
- Permalink
- Report Inappropriate Content
Generally I will use Auto detect and then change file to add the SOF to the programmer. Some cases I will get into failure to program if I do not use Auto detect. Is your case pass if use Auto detect?
- Mark as New
- Bookmark
- Subscribe
- Mute
- Subscribe to RSS Feed
- Permalink
- Report Inappropriate Content
Problem solved. I had been making two mistakes. First, by not using "Auto Detect" and instead loading the led_button.sof file, only one device was being added to the JTAG chain (5CGTFD9E5F35). When I hit "Start", the error message (I only just noticed that the Programmer error messages appear in the main Quartus II window) stated:
--- Quote Start --- Error (209031): Device chain in Chain Description File does not match physical device chain -- expected 1 device(s) but found 2 device(s). --- Quote End --- So this hinted that perhaps Auto Detect was the way to go (to identify the second device). Indeed, using "Auto Detect", added two devices to the JTAG chain (5CGTFD9E5F35 and 5M2210Z). My second mistake was that I then added the .sof file via "Add File" button, which added a third device to the chain. Instead, I see that I should have added the .sof file to the 5CGTFD9E5F35 device that was already loaded via Auto Detect. I did this by double clicking on the "<none>" label in the "File" column of the device entry in Programmer. There may be a better workflow (isn't there a way to configure the JTAG chain into the project definition? or is it really best-practice to choose "Auto Detect" every time you run Programmer?). Anyway, I'm up and running now with my hardware "hello world" example. Thanks for the helpful suggestions.- Mark as New
- Bookmark
- Subscribe
- Mute
- Subscribe to RSS Feed
- Permalink
- Report Inappropriate Content
Glad to hear that your hardware is up and running now. Based on my experience, the "Auto Detect" always work and I stick to it in all my FPGA projects.
- Mark as New
- Bookmark
- Subscribe
- Mute
- Subscribe to RSS Feed
- Permalink
- Report Inappropriate Content
You can use the save/save as... commands in the programmer's file menu to save the current programmer configuration for future use.

- Subscribe to RSS Feed
- Mark Topic as New
- Mark Topic as Read
- Float this Topic for Current User
- Bookmark
- Subscribe
- Printer Friendly Page