Programmable Devices
CPLDs, FPGAs, SoC FPGAs, Configuration, and Transceivers
20688 Discussions

Quartus can't find shared library

Altera_Forum
Honored Contributor II
11,416 Views

I just installed on a fresh install of the latest Debian. 

 

I get: 

 

<< 

quartus: error while loading shared libraries: libpng12.so.0: cannot open shared object file: No such file or directory 

>> 

 

So I do: 

 

<< 

locate libpng12.so.0 

/lib/libpng12.so.0 

/lib/libpng12.so.0.44.0 

>> 

 

I think these files would usually be in /var/lib? 

 

Suggestions would be appreciated. 

 

Thanks, 

 

Marion
0 Kudos
9 Replies
Altera_Forum
Honored Contributor II
7,798 Views

Make sure the QUARTUS_ROOTDIR_OVERIDE environment variable is set to the location of your Quartus installation. Try adding /lib to your LD_LIBRARY_PATH environment variable. Try running ldconfig.

0 Kudos
Altera_Forum
Honored Contributor II
7,798 Views

Thanks for your prompt reply. 

 

I think I have set up the variables as you suggested, but no joy. 

 

<< 

~:echo $QUARTUS_ROOTDIR_OVERIDE  

/alt 

~:echo $LD_LIBRARY_PATH 

/lib 

~:/alt/quartus/bin/quartus 

quartus: error while loading shared libraries: libpng12.so.0: cannot open shared object file: No such file or directory 

>> 

 

<< 

rut/lib\:ll *png* 

lrwxrwxrwx 1 root root 18 Feb 24 08:45 libpng12.so.0 -> libpng12.so.0.44.0 

-rwxrwxrwx 1 root root 155360 Feb 15 10:09 libpng12.so.0.44.0 

>> 

 

I guess I should have accepted the default location? 

 

Thanks again for your help. 

 

Marion
0 Kudos
Altera_Forum
Honored Contributor II
7,798 Views

Sorry, that was supposed to be QUARTUS_ROOTDIR_OVERRIDE (two r's in override), and it looks like in your case it should be set to /alt/quartus, ie it should be able to be started with ${QUARTUS_ROOTDIR_OVERRIDE}/bin/quartus

0 Kudos
Altera_Forum
Honored Contributor II
7,798 Views

Thanks again for the prompt response. 

 

Unfortunately, I have still not been able to get it to work. 

 

I did read the installation guide and learned that I should have put the ..quartus/bin 

directory in my path. So I did that, but it still didn't work. I don't think that was the problem, though. 

 

Next I deleted the whole /alt directory with the idea of going for the default location, 

but when I tried to install that way, I got: 

 

<< 

rut/home/mr/Downloads\:ls 

11.1sp2_259_quartus_free_linux 11.1sp2_259_quartus_free_linux.sh 

rut/home/mr/Downloads\:./11*.sh 

Creating directory 11.1sp2_259_quartus_free_linux 

Verifying archive integrity... All good. 

Uncompressing Quartus II Web Edition (Free)................................................................................................................................................................................................... 

./setup: 1: Syntax error: "&" unexpected 

>> 

 

Thanks again for any suggestions. 

 

Marion
0 Kudos
Altera_Forum
Honored Contributor II
7,798 Views

If you're on a 64 bits Linux, try "export QUARTUS_64BIT=1" 

 

Alex
Altera_Forum
Honored Contributor II
7,798 Views

Hi Marion, 

 

--- Quote Start ---  

 

rut/home/mr/Downloads\:ls 

11.1sp2_259_quartus_free_linux 11.1sp2_259_quartus_free_linux.sh 

rut/home/mr/Downloads\:./11*.sh 

Creating directory 11.1sp2_259_quartus_free_linux 

Verifying archive integrity... All good. 

Uncompressing Quartus II Web Edition (Free)................................................................................................................................................................................................... 

./setup: 1: Syntax error: "&" unexpected 

 

--- Quote End ---  

 

 

Solution# 1: Stop using Ubuntu. Its not supported by Altera, and if you have any problems with the tools, you're unlikely to get help. Just install Centos 6.2 and everything will work correctly. 

 

Solution# 2: Ok, so you want to keep using Ubuntu. Even though you get an error, the archive was unzipped ok, so the next step is to: 

 

cd 11.1sp2_259_quartus_free_linux sudo /bin/bash ./setup and follow the GUI prompts. You may however get additional library library errors because Ubuntu is not supported. 

 

Cheers, 

Dave
0 Kudos
Altera_Forum
Honored Contributor II
7,798 Views

 

--- Quote Start ---  

If you're on a 64 bits Linux, try "export QUARTUS_64BIT=1" 

 

Alex 

--- Quote End ---  

 

 

Great reply, this worked for me :-D 

- Using Debian 64bit and Quartus 13.1
Altera_Forum
Honored Contributor II
7,798 Views

I am using Debian 9.3 with Quartus 17.1. I had the libpng12 issue (Debian is using libpng16). I ended up building libpng..... 

 

git clone https://github.com/glennrp/libpng.git 

cd libpng/ 

git checkout v1.2.59 

./configure --prefix /usr/local 

make 

sudo make install 

 

If it still has issues, copy libpng12.so to /usr/lib/x86_64-linux-gnu/ 

 

My /usr/lib/x86_64-linux-gnu/ looks like this...... 

ls -l libpng* 

libpng12.so -> libpng12.so.0.59.0 

libpng12.so.0 -> libpng12.so.0.59.0 

libpng12.so.0.59.0 

libpng16.so.16 -> libpng16.so.16.28.0 

libpng16.so.16.28.0
HMedi2
Beginner
7,798 Views

This worked for me. i'm used debian 10 buster.

0 Kudos
Reply