Programmable Devices
CPLDs, FPGAs, SoC FPGAs, Configuration, and Transceivers
20785 Discussions

Top-level entity " " is undefined

Altera_Forum
Honored Contributor II
2,605 Views

I get the error: 

 

Top-level design entity " " is undefined 

Full Compilation was unsuccessful. 3 errors 

 

I tried using methods from previous posts but nothing worked. I named everything the same. Can anyone explain the problem?
0 Kudos
3 Replies
Altera_Forum
Honored Contributor II
589 Views

What posts are you referring to? have you included all the source code in the project.

0 Kudos
Altera_Forum
Honored Contributor II
589 Views

Hi, May be could you select top level entity : In Quartus II, "Project Navigator", select "Files" tab, right clic and activate "select top-level entity" ... Hope this helps !

0 Kudos
Altera_Forum
Honored Contributor II
589 Views

enter the first entity name ex ..ece of ur program 

add what r all related module related to work lib in that ece... 

then compile
0 Kudos
Reply