Programmable Devices
CPLDs, FPGAs, SoC FPGAs, Configuration, and Transceivers
21324 Discussions

cycloneIII configuration problems

Altera_Forum
Honored Contributor II
1,850 Views

hello  

 

recently i am testing my board with a cycloneIII device. its configuration device is epc16. i can successfully program fpga, but fpga can not work fine. although my vhdl program is a very simple program. for example, i set an output with level '0'. i think the program in epc16 is not really loaded in fpga. i wonder if in AS configuration schehme i should connect a 25 ohm resistance between Serial Configuration Device DATA and cycloneiii device DATA[0] which is noted in figure 10-3 of cycloneiii handle book. 

this is my first thread in altera forum, please help me. 

my native language is not english, i so sorry that in this article i may make some mistake.  

 

Thank you much, 

kevin
0 Kudos
8 Replies
Altera_Forum
Honored Contributor II
601 Views

this 25ohm resistor is a must have on cyclone III between the DATA output of your EPCS and the DATA[0] of the FPGA. i have seen this resistor in the altera docs about AS configuration and my FAE strongly advised me to use it. You must place this resistor as close to the data output pin of your EPC(S) as possible. but this is also mentioned in the altera docs. 

the cyclone III devices need that you take care about the external signals especially if you use 3,3V IO.  

with all these "must look after" in cyclone III it took me longer for the designs as for cyclone II, but now they run fine.
0 Kudos
Altera_Forum
Honored Contributor II
601 Views

I guess, you mean EPCs16 rather than EPC16? You didn't tell your exact hardware configuration, e.g. according to which handbook's schematic. You also didn't tell, if programming and verify of AS device proceeded correct in Quartus programmer. I think, the said 25 ohms resistor only matters, if there is a least one inch distance between FPGA and AS memory, but there are many possible hardware faults apart.

0 Kudos
Altera_Forum
Honored Contributor II
601 Views

thank you very much 

my configuration device is epcs16. and hardware is byteplasterII. i can program FPGA successfully but it did not seems work fine. i program the same code to starixII it can work fine. here is my test code: 

library ieee; 

use ieee.std_logic_1164.all; 

entity test is 

port  

clk : in std_logic; 

clk_out : out std_logic; 

A0 : out std_logic; 

A1 : out std_logic 

); 

end entity; 

architecture rtl of test is 

begin 

A0 <= '0'; 

A1 <= '0'; 

clk_out <= clk; 

end rtl;
0 Kudos
Altera_Forum
Honored Contributor II
601 Views

 

--- Quote Start ---  

this 25ohm resistor is a must have on cyclone III between the DATA output of your EPCS and the DATA[0] of the FPGA. i have seen this resistor in the altera docs about AS configuration and my FAE strongly advised me to use it. You must place this resistor as close to the data output pin of your EPC(S) as possible. but this is also mentioned in the altera docs. 

the cyclone III devices need that you take care about the external signals especially if you use 3,3V IO.  

with all these "must look after" in cyclone III it took me longer for the designs as for cyclone II, but now they run fine. 

--- Quote End ---  

 

michael, thank you very much
0 Kudos
Altera_Forum
Honored Contributor II
601 Views

so you should have 2 pins that are forced to be 0 and another one is toggling. 

this is theory and how is it in real life on your fpga ? 

what is working and what is not working ?
0 Kudos
Altera_Forum
Honored Contributor II
601 Views

 

--- Quote Start ---  

so you should have 2 pins that are forced to be 0 and another one is toggling. 

this is theory and how is it in real life on your fpga ? 

what is working and what is not working ? 

--- Quote End ---  

 

they all do not work
0 Kudos
Altera_Forum
Honored Contributor II
601 Views

 

--- Quote Start ---  

so you should have 2 pins that are forced to be 0 and another one is toggling. 

this is theory and how is it in real life on your fpga ? 

what is working and what is not working ? 

--- Quote End ---  

 

the same program on fpga stratixII, they work fine.
0 Kudos
Altera_Forum
Honored Contributor II
601 Views

Hi Kevin, 

Can you program the FPGA through JTAG? If yes, and the program works as expected, then you can eliminate this and focus on the configuration circuitary.  

 

I think posting some details about the configuration scheme you are using would be useful. In the board design, did you follow exactly what the handbook said, if not then what differences are there? I think armed with this information the experts here will help you out in no time. 

 

Ardni
0 Kudos
Reply