Programmable Devices
CPLDs, FPGAs, SoC FPGAs, Configuration, and Transceivers
Announcements
FPGA community forums and blogs on community.intel.com are migrating to the new Altera Community and are read-only. For urgent support needs during this transition, please visit the FPGA Design Resources page or contact an Altera Authorized Distributor.
21615 Discussions

how to use SignalTap to slow the clock of DE2

Altera_Forum
Honored Contributor II
2,613 Views

i am just wondering if any one may have an idea how to slow down 50MHZ clock in DE2 board to 1HZ , by using SignalTap

0 Kudos
7 Replies
Altera_Forum
Honored Contributor II
1,423 Views

Signaltap is not used for clock operations. Why do You want 1Hz clock? Use clock enables for such slow signals.

0 Kudos
Altera_Forum
Honored Contributor II
1,423 Views

thank you so much for the reply, i am trying to monitor some LEDs in DE2 board butwith such frequency 50 Mhz, it is not possible to trace them, how could i use signal enable to be able to observe the chnages in LEDs

0 Kudos
Altera_Forum
Honored Contributor II
1,423 Views

50MHz LED is too fast for human vision. you need a counter on 50MHz to generate a ON/OFF switch (call it enable) for some time (e.g. .5 sec ON, .5 OFF). use module 2 counter (2^27 will then overflow in about 2.7 sec, 2^27/50M), you can then use any siutable counetr MSB as LED switch. 

 

Just curiosity: 

2^100 on your clock will overflow in 8 years. 

2^200 in many many trillions years
0 Kudos
Altera_Forum
Honored Contributor II
1,423 Views

thank you so much for the reply, what you suggest me to do, is to use a counter on 50Mhz to generate ON/OFF switch, my question is, as i wrote my VHDL codes and i alreday downloaded it in the DE2 Board and it works fine with using one push botton as clock, i could use external clock, but how to implement the counter circuit, would it be in the same VHDL program , or it could be different circuit ,, 

thank you so much
0 Kudos
Altera_Forum
Honored Contributor II
1,423 Views

I would offer to learn how to construct a sentence in english. Then a question in english and finally, ask here what You want. because I don't understand the question.

0 Kudos
Altera_Forum
Honored Contributor II
1,423 Views

I don't quite get the point. You certainly need to add to your existing code and redownload it to fpga. 

 

all you need is this: 

signal count : unsigned(26 downto 0); ..... process begin if rising_edge(clk) then count <= count + 1; end if; end process; led_sw = count(26); -- or 25 etc
0 Kudos
Altera_Forum
Honored Contributor II
1,423 Views

thank you so much for the reply, i will try to add this process to my program and see the result and let you know, 

thank you so much
0 Kudos
Reply