Programmable Devices
CPLDs, FPGAs, SoC FPGAs, Configuration, and Transceivers
20703 Discussions

interfacing to epcq from fpga?

Altera_Forum
Honored Contributor II
1,794 Views

Hello all, 

 

I've been assigned a task at work that requires me to access the epcq from the fpga in order to store data on it. However, the epcq is used for storing the configuration file for the fpga and it is hardwired to dedicated programming pins which are impossible to access through the fpga fabric. is it possible to access epcq in this configuration through the use of one of the flash ip modules? 

 

thanks for any help that can be provided!
0 Kudos
5 Replies
Altera_Forum
Honored Contributor II
477 Views

Hi Alexander, 

 

There is an IP core (Altera ASMI Parallel IP Core) that can give you access to the configuration flash. See this user guide: 

https://www.altera.com/content/dam/altera-www/global/en_us/pdfs/literature/ug/ug_altasmi_parallel.pdf 

 

Regards, 

Mathias
0 Kudos
Altera_Forum
Honored Contributor II
477 Views

hello again, I have attempted to use this IP core to interface to the configuration flash; however, I am running into a problem where the asmi_busy signal coming from the IP core is always high even before trying to access it. I have tried to contact Altera support but they told me they "don't offer support for my chosen area anymore" and instead directed me here to the forums. Myself and another coworker have been working on this trying to figure this out and have had no success.

0 Kudos
Altera_Forum
Honored Contributor II
477 Views

 

Hi Alexander, 

 

Yes we can establish the communication with the flash(there are different methods). 

Have loaded .sof file is it working fine? 

If Yes, Generate .jic and load the flash with .jic. 

 

1.Are you using development board/ custom board?Can you share the information of Kit part number/ part number of flash? 

 

Converting .sof to .jic Files in the Quartus II Software 

To convert a .sof to a .jic file, perform the following steps: 

1. On the File menu, select Convert Programming Files. 

2. In the Convert Programming Files dialog box, select JTAG Indirect Configuration File (.jic) from 

the Programming file type drop down menu. 

3. In the Configuration device field, specify the targeted serial configuration device. 

4. In the File name field, browse to the target directory and specify an output file name. 

5. Highlight the SOF Data in the Input files to convert window. 

6. Click Add File. 

7. Select the .sof file that you want to convert to a .jic file. 

8. Click OK. 

9. Highlight Flash Loader and click Add Device. 

10.In the Select Devices dialog box, select the targeted FPGA that you are using to program the serial 

configuration device. 

11.Click OK. 

12.Click Generate. 

 

Best Regards, 

Anand Raj Shankar 

(This message was posted on behalf of Intel Corporation) 

0 Kudos
Altera_Forum
Honored Contributor II
477 Views

Hi Alexander, 

 

I have a couple of suggestion for your busy signal problem: 

  • check that the IP core version is supporting your ECPQ device 

  • check that the IP core is configured to the correct ECPQ device 

  • check that the clock is running not faster the 20 MHz in read or 25 MHz in fast read mode 

  • check that all input signals except the clock are low 

  • check that not other IP core is accessing the configuration flash (e.g. like the Altera Remove Update IP core) 

 

 

Regards, 

Mathias
0 Kudos
YWoo0
Beginner
477 Views

Hi, did you able to work on the features?

 

0 Kudos
Reply