Programmable Devices
CPLDs, FPGAs, SoC FPGAs, Configuration, and Transceivers
20705 Discussions

migrate existing firmware from cyclone IV to cyclone V

Altera_Forum
Honored Contributor II
1,390 Views

Hello Everyone, 

 

This is my first time posting. 

 

Although I've been using Quartus for almost a year, the tool is so complex that I always seem to have questions about it.  

 

I am migrating firmware written for cyclone IV to the cyclone V and I get this series of errors.  

 

Error (292019): Core FIR_Compiler (6AF7_0012) is not enabled for current device family 

Error (292019): Core FIR_Compiler (6AF7_0012) is not enabled for current device family 

Error (10003): Can't open encrypted VHDL or Verilog HDL file "C:/Users/lam.vo/DATA/Work/projects_Fuze/1203_nextGen_Prox/firmware/fir_compiler-library/auk_dspip_lib_pkg_fir_121.vhd" -- current license file does not contain a valid license for encrypted file 

Error (292019): Core FIR_Compiler (6AF7_0012) is not enabled for current device family 

Error (292019): Core FIR_Compiler (6AF7_0012) is not enabled for current device family 

Error (10003): Can't open encrypted VHDL or Verilog HDL file "C:/Users/lam.vo/DATA/Work/projects_Fuze/1203_nextGen_Prox/firmware/fir_compiler-library/auk_dspip_math_pkg_fir_121.vhd" -- current license file does not contain a valid license for encrypted file 

Error: Quartus II 64-Bit Analysis & Synthesis was unsuccessful. 6 errors, 1 warning 

Error: Peak virtual memory: 540 megabytes 

Error: Processing ended: Tue Jan 14 11:06:49 2014 

Error: Elapsed time: 00:00:05 

Error: Total CPU time (on all processors): 00:00:04 

 

 

I am sort of at a loss, and don't know where to turn. If anyone can at least point me in the right direction, I would very much appreciate it.  

 

Thanks!
0 Kudos
4 Replies
Altera_Forum
Honored Contributor II
517 Views

I believe you need to regenerate your FIR filter with FIR_COMPILER II. FIR_COMPILER is being obsoleted and may not be supported in the latest software versions or latest devices. 

 

 

--- Quote Start ---  

 

Altera recommends the use of FIR Compiler II MegaCore® function for new designs. The FIR Compiler II MegaCore function provides significantly  

lower FPGA resource usage and increased performance than the original FIR Compiler for most FIR filter implementations. The original FIR Compiler MegaCore function will continue to be supported for existing designs. 

 

--- Quote End ---  

 

 

Otherwise it looks like a licensing issue, you may try contacting your FAE to see if you can get the correct license. 

 

Pete
0 Kudos
Altera_Forum
Honored Contributor II
517 Views

 

--- Quote Start ---  

I believe you need to regenerate your FIR filter with FIR_COMPILER II. FIR_COMPILER is being obsoleted and may not be supported in the latest software versions or latest devices. 

 

 

 

Otherwise it looks like a licensing issue, you may try contacting your FAE to see if you can get the correct license. 

 

Pete 

--- Quote End ---  

 

 

Hi Pete, 

 

Thanks for getting back to me. I will give those things a shot. I'll let you know how it goes.
0 Kudos
Altera_Forum
Honored Contributor II
517 Views

After reading your error message again, I thought of another possibility. It may have been the core was generated with a version of Quartus that didn't support the Cyclone V yet. (at least for FIR Compiler) If that's the case just re-generating the core with Cyclone V as the target may be enough. 

 

Pete
0 Kudos
Altera_Forum
Honored Contributor II
517 Views

 

--- Quote Start ---  

After reading your error message again, I thought of another possibility. It may have been the core was generated with a version of Quartus that didn't support the Cyclone V yet. (at least for FIR Compiler) If that's the case just re-generating the core with Cyclone V as the target may be enough. 

 

Pete 

--- Quote End ---  

 

 

 

Thanks for all your help Pete.  

 

FC1 does not support cyclone 5 anymore. I need to regenerate with FC2. That seems to be the problem. But to validate this, I have to regenerate a series of other filters.  

 

Thanks again!
0 Kudos
Reply