Programmable Devices
CPLDs, FPGAs, SoC FPGAs, Configuration, and Transceivers
20721 Discussions

level tranalator

Altera_Forum
Honored Contributor II
982 Views

HI,can i realise level translator by Cyclone III?

0 Kudos
3 Replies
Altera_Forum
Honored Contributor II
273 Views

 

--- Quote Start ---  

HI,can i realise level translator by Cyclone III? 

--- Quote End ---  

 

From 3.3 powered bank to 2.5 powered?
0 Kudos
Altera_Forum
Honored Contributor II
273 Views

from 3.3V to 1.8V

0 Kudos
Altera_Forum
Honored Contributor II
273 Views

Yes it should be possible. 

if the 3.3V pins are at IO bank(s) with 3.3V VCC 

if the 1.8V pins are at IO bank(s) with 1.8V VCC 

 

and if you provide both 3.3V and 1.8V power supplies to the FPGA.
0 Kudos
Reply