Process Technology
1 Discussions

Intel Delivers Leading-Edge Foundry Node with Intel 3 Technology; on Path Back to Process Leadership

Walid_Hafez_Intel
8 4 31.6K

Editor’s Note: Welcome to the new Intel Foundry blog! We're launching this resource as a new way to peek inside our systems foundry for the AI era with some of the experts making it happen. We're thrilled to launch the blog with this exciting look at the Intel 3 node’s performance gains from Foundry Technology Development Vice President Walid Hafez. Please stay tuned for future posts diving further into our strategy, process nodes, advanced packaging technologies, and much more. 

At Intel Foundry, we are dedicated to harnessing innovative technologies to extend Moore’s Law and put greater capabilities into the hands of customers for exciting new applications. For decades, we have led the industry with transistor technologies at key inflection points, including the introduction of strained silicon in 2005, the high-k and metal gate stack in 2009, and bringing the transistor into the third dimension with the FinFET architecture in 2011. Today, we continue our legacy of pioneering major new transistor innovations that will shape future areas such as AI and supercomputers.

Now, we are thrilled to share details of the Intel 3 process node, which is our ultimate FinFET-based node, at the annual VLSI Symposium.

The base Intel 3 process node delivers up to 18% better performance at the same power for an entire processor core, a flexible set of metal interconnect options, and up to 10% greater density than the previous generation Intel 4 node (1). This represents an entire generation of performance improvement – a tremendous advance in just one year. We achieved this through careful optimizations in nearly every aspect of the process, from the transistor to the metal stack. The modest density gains come from a new set of high-density standard cell libraries that we developed.

In 2021, Intel set out to regain process technology leadership and laid out our five nodes in four years journey (5N4Y) with a series of aggressive milestones. The 5N4Y roadmap focuses on regaining technical leadership and demonstrating consistent execution through careful and measured risk-taking. It is also about propelling the entire industry forward – as we transform the company to offer the breadth of our design, packaging and manufacturing capabilities to foundry customers.

The Intel 3 node brings us closer to the finish line on our 5N4Y journey and builds on our prior successes to deliver consistent execution. With the prior Intel 4 node, we introduced EUV lithography, a complex technology that impacts many different aspects of the process, from transistor front-end to the vias and metal interconnects in the back end. The Intel 4 node is used in the Intel Core Ultra processor family, which ushered in the AI PC era and has shipped more than nine million units.

As planned, the Intel 3 node reached its manufacturing readiness milestone at the end of last year. Further demonstrating Intel process technology is back on track, this node reached high-volume production at our R&D site in Oregon and is now also producing chips in high volume in our Leixlip, Ireland fab for foundry customers, including server processors in the Intel Xeon 6 platform.

The Intel 3 process node includes four variants that were architected and incrementally co-developed to reduce risk and enable consistent execution. These variants include:

  • The Intel 3-T process node builds on the base process and offers through-silicon vias (TSVs) for 3D-stacking applications such has image processing, high-performance computing, and AI where multiple compute and memory components need to be integrated in a single package.
  • The Intel 3-E node adds in a rich set of I/O for external interfaces, analog, and mixed signal features adding breadth to the family.
  • Lastly, the Intel 3-PT node combines all these advances together into a single process and then adds in even more performance enhancements alongside superior ease-of-use for designers, while including support for finer-pitch 9um TSVs and hybrid bonding options for even higher-density 3D-stacking. We believe that the Intel 3-PT node delivers a unique combination of performance, flexibility, and cost for a wide variety of applications. As the ultimate FinFET-based process node, it will be a mainstay and employed alongside new technologies for many years to come - for both internal and external foundry customers.

The Intel 3 node is also Intel Foundry’s first leading-edge process node, designed to serve as a long-lasting node for foundry customers, with a continual progression of technology feature and performance enhancements to serve a wide array of design and product applications.

In summary, Intel 3 technology provides the ultimate family of FinFET process nodes and delivers a full generation of performance and 10% better density than the Intel 4 node. The Intel 3 node reached manufacturing readiness in the fourth quarter of 2023 and is now in high-volume manufacturing for the Intel Xeon 6 processor family. We are delivering on our promise of consistent execution against our 5N4Y plan and paving the way for our transition to RibbonFET and the angstrom era with the Intel 20A and Intel 18A process nodes being introduced over the coming year.

For more details on the Intel 3 process node, please watch my VLSI paper presentation, embedded above.

(1) - For additional details, please see embedded video above or review our team’s paper, “An Intel 3 advanced FinFET platform technology for High Performance Computing and SOC Product Applications,” available from https://www.vlsisymposium.org/ .

4 Comments
Brian_H
Employee

Thank you Walid for all the help you and your team are doing to get us back on track!

xiaofeng1
Employee

Could Intel 3 beat N3E ?

garaldas
Beginner

Please Post

other Intel Papers

in

2024 VLSI Symposium

HERE

RobinHolt
Employee

@garaldas - we are unable to post any VLSI papers directly. You can request them from the VLSI conference by reaching out to 

Chris Burke 
co-Media Relations Director 
+1 919 872 8172 
chris.burke@btbmarketing.com