FPGA Intellectual Property
PCI Express*, Networking and Connectivity, Memory Interfaces, DSP IP, and Video IP
6355 Discussions

DSP Builder to Modelsim II

Altera_Forum
Honored Contributor II
965 Views

Hi I have the following a HDL import of the following vhdl file to dsp builder simulink. 

 

Hoewever I didn obtain correct output from the modelsim simulation or I would rather say that most of the outputs display '-' or 'X' and I have tons of following error: 

 

There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). 

 

I followed several suggestion from the net but still to no success. Can anyone help me to take a look at my attached vhdl file and advise me on how to change my coding??
0 Kudos
1 Reply
Altera_Forum
Honored Contributor II
224 Views

Hi all, I realized where is the mistake. I didn not reset/declare the signal properly that caused the value undefined appear in the simulations

0 Kudos
Reply