FPGA Intellectual Property
PCI Express*, Networking and Connectivity, Memory Interfaces, DSP IP, and Video IP
6356 Discussions

FFT modelsim stand alone simulation

Altera_Forum
Honored Contributor II
965 Views

I new to Quartus II and ModelSim. I am trying to simulate the FFT MegaCore and I am getting a VHDL compile error. I have reviewed the documentation but I don't see what I am doing wrong. The documentation I am looking at is "Ch 6: Simulating Altera IP in Third-Party Simulation Tools page 6-11" The Q2 generated VHDL uses the lib/package "fft_lib.fft_pack_fft_90" I don't see how the package "fft_pack_fft_90" gets included into the vcom command. I do see a binary file called "fft_pack_fft_90.vhd" which Q2 generated. 

 

vcom -work work -93 -explicit fft.vhd# Model Technology ModelSim SE-64 vcom 6.1a Compiler 2005.07 Jul 19 2005# -- Loading package standard# -- Loading package std_logic_1164# ** Error: (vcom-19) Failed to access library 'fft_lib' at "fft_lib".
0 Kudos
1 Reply
Altera_Forum
Honored Contributor II
291 Views

Hi 

 

You have to compile that generated .vhd into your library and set pathes that the library is known by modelsim. 

 

I'm more used to the GUI way, so I describe this one (command line version should be similar, but you have to add pathes yourself...) 

 

Start Modelsim 

 

Library -> New library 

[x] a new library and a logical mapping to it 

Library name [fft_lib] 

Library Physical name [fft_lib] 

> OK 

 

Compile > Compile... 

Library [fft_lib] 

Filename [./fft_pack_fft_90.vhd] 

> Compile 

 

Now you should see the fft_lib beside the other libraries and see the building blocks compiled into it. Open and build your simulation now. 

 

Hope this helps, 

Peter
0 Kudos
Reply