FPGA Intellectual Property
PCI Express*, Networking and Connectivity, Memory Interfaces, DSP IP, and Video IP
6359 Discussions

Resource usage for Arria 10 DSP performing Multiply Add

Altera_Forum
Honored Contributor II
956 Views

I want to implement "Multiply Add" operations using single precision floating point, and I'm considering the DSPs in Arria 10.  

 

I'm trying to figure out the following: 

- can we multiply and add in the same DSP, or do we need two DSPs? 

- if yes, what will the latency be? 

 

I found the resource utilization and latency for multiplication and addition separately here :  

 

https://www.altera.com/content/dam/altera-www/global/en_us/pdfs/literature/ug/ug_altfp_mfug.pdf, chapter "ALTERA_FP_FUNCTIONS Resource Utilization and Performance" 

 

which states :  

Add : Latency = 4, DSP = 1 

Multiply : Latency = 3, DSP = 1 

 

 

Steffen
0 Kudos
1 Reply
Altera_Forum
Honored Contributor II
239 Views

Hi Steffen, you can, it has a latency of 4 cycles and you can access it using the multiply add which is available int he drop down menu in altera_fp_functions

0 Kudos
Reply