FPGA Intellectual Property
PCI Express*, Networking and Connectivity, Memory Interfaces, DSP IP, and Video IP
6359 Discussions

Stratix 5 and PCIe Reference Design. How to change the target device ?

Altera_Forum
Honored Contributor II
938 Views

Hi everyone, 

 

I'm using a DE5-NET-450 development board from Terasic (http://www.terasic.com.tw/cgi-bin/page/archive.pl?language=english&no=526) with a 5SGXEA7N2F45C2 FPGA and Quartus Prime 15.1.0. 

 

I'm trying to run the PCIe reference design (Revision 5) proposed by Altera (http://www.alterawiki.com/wiki/reference_design_-_gen3_x8_avmm_256-bit_dma_-_stratix_v).  

 

My problem is that the device used to develop this reference design is a 5SGXEA7K2F40C2 FPGA. I changed the target device in Quartus Prime and QSys, and I lost the pin assignment, which is understable as the devices are differents. 

 

Thanks to the DE5-Net User Manual, I tried to reassign the required pins, but I struggle to fill them all. I founded to assign the PCIE_TX and PCIE_RX pins but I'm missing a lot of the others (See the list below). 

 

Is it possible to easily change the target device of a reference design? Am I missing something obvious? 

 

It looks like this issue is not a complicated one, but I can't figure out how to solve it. If anyone has tips, I would appreciate some help to make this design run on my board. 

 

Thank you, 

 

Cc44600 

 

P.S. List of the missing pins 

set_location_assignment PIN_xx -to "refclk1_ql0_p(n)" 

set_location_assignment PIN_xx -to refclk1_ql0_p 

set_location_assignment PIN_xx -to "refclk4_ql2_p(n)" 

set_location_assignment PIN_xx -to refclk4_ql2_p 

set_location_assignment PIN_xx -to "refclk5_ql2_p(n)" 

set_location_assignment PIN_xx -to refclk5_ql2_p 

set_location_assignment PIN_xx -to "refclk0_qr0_p(n)" 

set_location_assignment PIN_xx -to refclk0_qr0_p 

set_location_assignment PIN_xx -to "refclk1_qr0_p(n)" 

set_location_assignment PIN_xx -to refclk1_qr0_p 

set_location_assignment PIN_xx -to "refclk2_qr1_p(n)" 

set_location_assignment PIN_xx -to refclk2_qr1_p 

set_location_assignment PIN_xx -to "refclk4_qr2_p(n)" 

set_location_assignment PIN_xx -to refclk4_qr2_p 

set_location_assignment PIN_xx -to "refclk5_qr2_p(n)" 

set_location_assignment PIN_xx -to refclk5_qr2_p 

 

set_location_assignment PIN_xx -to reconfig_xcvr_clk 

set_location_assignment PIN_xx -to perstn 

set_location_assignment PIN_xx -to local_rstn 

set_location_assignment PIN_xx -to req_compliance_pb 

set_location_assignment PIN_xx -to set_compliance_mode 

 

set_location_assignment PIN_xx -to hsma_clk_out_p2
0 Kudos
0 Replies
Reply