FPGA Intellectual Property
PCI Express*, Networking and Connectivity, Memory Interfaces, DSP IP, and Video IP

VREF

ymiler
Employee
977 Views

Hi

 

1) Is there option to define which VREF the FPGA use  ?  (interal FPGA vref   or  external IO pin )

    If yes - where should I define it in the Quartus 

2)Do all the banks should get same vref ?

3)How can I make same vref  value when I transfer SERDES data from FPGA to other ( each FPGA locate in other board)

 

Yishay

 

 

0 Kudos
8 Replies
AminT_Intel
Employee
959 Views

Hello,

 

May I know which FPGA are you using?

 

Thank you.

0 Kudos
ymiler
Employee
956 Views

Hi

 

DEVICE 1SG10MHN3F74C2LG_U1
FAMILY "Stratix 10"

0 Kudos
AminT_Intel
Employee
951 Views

Hello Yishay,

 

Hope you are doing well. You can define Vref by using Pin Planner in your Quartus. There is an external VREF pin for every I/O bank, providing one external VREF source for all I/Os in the same bank. You can refer to page 40 from Stratix 10 GPIO User Guide 

 

Additional documents that you can refer to is as below: 

1. Intel Stratix 10 Device Datasheet

2. LVDS SERDES IP User Guide

 

Thank you.

 

0 Kudos
ymiler
Employee
944 Views

Hi 

 

Thank you for your answer ,

According to the DS  there is option to choose which VREF to use ,  internal or exteranal 

Attached screenshot :

 

ymiler_0-1626362436902.png

But , I dont find any option choose it in the Pin Planner :

ymiler_2-1626362732087.png

 

Please advise

 

Yishay

0 Kudos
AminT_Intel
Employee
902 Views

Hi,

 

Which version of Quartus are you using? 

 

Thanks

0 Kudos
ymiler
Employee
871 Views
0 Kudos
AminT_Intel
Employee
826 Views

Hello,

 

When you click on that GUI in the Pin Planner it will show you the pin location on tab on your right. You can set your fitter location to that pin at the bottom of the Pin Planner GUI.

 

Thank you.

0 Kudos
AminT_Intel
Employee
812 Views

We do not receive any response from you to the previous question/reply/answer that I have provided. This thread will be transitioned to community support. If you have a new question, feel free to open a new thread to get the support from Intel experts. Otherwise, the community users will continue to help you on this thread. Thank you.

0 Kudos
Reply