FPGA, SoC, And CPLD Boards And Kits
FPGA Evaluation and Development Kits
5931 Discussions

DE1 bord - zijn er nederlandse gebruikers?

Altera_Forum
Honored Contributor II
1,713 Views

Is there anyone who can help me with exercices for my new board DE1 Altera? 

Where can I find exercices? 

For instance, I want to make a counter who used 1 hz. 

 

Met wie kan ik graag communiceren over het gebruik van het nieuwe Altera DE1 bord? Liefst in het nederlands aub. 

 

Dank 

Rik Hostyn
0 Kudos
5 Replies
Altera_Forum
Honored Contributor II
760 Views

Wat is juist jou probleem?

0 Kudos
Altera_Forum
Honored Contributor II
760 Views

Hallo, 

 

Dank voor uw reactie. 

 

Ik ben een docent die voor de eerste keer de Altera-borden DE1 zal gebruiken bij mijn studenten. 

Momenteel ben ik oefeningen aan het voorbereiden op dit bord. 

Het zijn nog gewone basisoefeningen. 

Alles is nog noieuw voor mij. 

Ik gebruik Quartus 7.1 webeditie. 

 

Wat ik voorlopig niet vind is hoe ik bv. een klok kan maken van bv. 1 hz. Dit heb ik nodig om een eenvoudige teller zichtbaar te maken op enkele led's. 

Waarschijnlijk bestaat er een library-functie die vanuit de 50 Mhz of 27 Mhz of 24 Mhz met een deler lagere frequenties kan maken. 

 

Graag zou ik ook weten welke de uiteindelijke digitale booleaanse vergelijklingen zijn na compilatie (dat is goed voor studenten). Ik kan dit voor een stuk terugvinden via netlistviewers en properties (kan je zelfs de karnaughkaart zien), maar een overzicht in één of andere file van de vergelijkingen heb ik nog niet gevonden. Weet u dit te vinden? 

 

En weet u waar er leuke basisoefeningen te vinden zijn betreft het DE1 bord? 

 

Gebruikt u het DE1 bord? Welke zijn uw toepassingen aub? Heb je nog tips voor mij? 

 

Vriendelijke groeten - Rik Hostyn 

Docent bij de KATHO - Kortrijk
0 Kudos
Altera_Forum
Honored Contributor II
760 Views

alles hangt af van welke taal die je wil gebruiken. 

 

Gebruik je vhdl dan is dit een eenvoudig voorbeeld om een clock te delen 

 

library altera_vhdl_support; 

use altera_vhdl_support.all; 

 

library work; 

use work.altera_vhdl_support_lib.all; 

 

library IEEE; 

use IEEE.STD_LOGIC_1164.all; 

use IEEE.NUMERIC_STD.all; 

use IEEE.STD_LOGIC_UNSIGNED.all; 

use IEEE.std_logic_arith.all; 

 

entity CLK_Distribution is  

port ( 

clk : in std_logic; 

Q : buffer std_logic_vector(31 downto 0) -- q(0) word door 2 gedeeld, q(1) door 4 enz. 

); 

end entity CLK_Distribution; 

 

architecture behaviour of CLK_Distribution is 

begin 

 

process(clk,q) 

begin 

 

if rising_edge(clk) then 

q <= q + 1; 

 

end if; 

 

end process; 

 

end behaviour; 

 

als je enkel grafische tools wil gebruiken dan zijn de lpm functies beschikbaar. 

je vindt info over lpm functies op de altera site. 

http://www.altera.com/support/examples/exm-index.html 

 

ook op de site van terasic site vind je heel info 

http://www.terasic.com.tw/cgi-bin/page/archive.pl?language=english&categoryno=39&no=83
0 Kudos
Altera_Forum
Honored Contributor II
760 Views

Hallo Rik, 

 

Je kan in Quartus een optie aanzetten om de booleaanse vergelijkingen te printen. Ga naar Tools -> Options. In de categorie General ga je naar de subcategorie Processing. Daar klik je aan "Automatically generate equation files during compilation". Na je volgende compile vind je de vergelijkingen in het compilation report.
0 Kudos
Altera_Forum
Honored Contributor II
760 Views

Hallo Rik, 

Je zal mij nog wel herrinneren van de flex groep samen met "Andre" 

Ik bezit nu 2 DE1boards en 2 MAX II nano boards van Terasic voor allerlei experimenten. Vroeger gebruikte ik schema entry maar nu bijna uitsluitend verilog. Mocht je vragen hebben, neem gewoon even kontakt of kom even langs. Ik ben intussen op rust, dus er is bijna altijd tijd beschikbaar. 

 

Frans.
0 Kudos
Reply