FPGA, SoC, And CPLD Boards And Kits
FPGA Evaluation and Development Kits
5892 Discussions

DE10-Nano PLL Maximum output frequency

Yakov1
Beginner
925 Views

I am working with the DE10-nano development board that is utilizing the Cyclone V (5CSEBA6U23I7) FPGA and the Quartus Prime Version 20.1.1 build to generate a 1.6 GHz PLL output using the PLL Intel FPGA IP block form the IP catalog. According to Table 31 of the Cyclone V datasheet I should be able to achieve between 600 and 1600 MHz, however I am only able to achieve about 700 MHz. Does anybody know why this may be happening?

 

Any assistance would be greatly appreciated!

 

 

0 Kudos
1 Solution
Ash_R_Intel
Employee
910 Views

Hi,

The 600MHz to 1600MHz is the VCO frequency range and not the PLL generated output clock. As per the datasheet, it can generate max of 667MHz or 550MHz depending on where you are connecting the clock to.


Regards


View solution in original post

0 Kudos
2 Replies
Ash_R_Intel
Employee
911 Views

Hi,

The 600MHz to 1600MHz is the VCO frequency range and not the PLL generated output clock. As per the datasheet, it can generate max of 667MHz or 550MHz depending on where you are connecting the clock to.


Regards


0 Kudos
Yakov1
Beginner
887 Views

Thank you for the clarification!

0 Kudos
Reply