FPGA, SoC, And CPLD Boards And Kits
FPGA Evaluation and Development Kits
6040 Discussions

Data transfer from Nios to FPGA using Avalon-MM

aamodini
Novice
148 Views

I'm trying to transfer data from Nios to FPGA fabric using the Avalon Memory Mapped interface (where Nios is the master and the FPGA being the slave).

This is my Nios C code

aamodini_0-1720469665694.png

I use IOWR_ALTERA_AVALON_FIFO_DATA to write data to the FIFO from Nios.

Is there a way to check if the data (0x0A) is actually written to the FIFO? If so, is this statement
        printf("return data: %d\n", IORD_ALTERA_AVALON_FIFO_DATA(FIFO_2_OUT_BASE));
the right way to do it? Because I am unable to read the same value.

 

 

 

Labels (1)
0 Kudos
0 Replies
Reply