FPGA, SoC, And CPLD Boards And Kits
FPGA Evaluation and Development Kits

NiosII HelloWorld Error

prateekmohan
Beginner
540 Views

Hello,

I am trying to simulate a simple NiosII design (the HelloWorld application) in order to understand how the NiosII works but I cannot see the print statement "Hello from Nios II!" show up on my ModelSim Transcript. 

I attached my ModelSim transcript to this post in case the warnings mean something that I'm missing. 

I am following the instructions in section 6.5 from this page: Embedded Design Handbook (intel.com)

My QSYS project looks like below - if you want me to attach a QAR of my project I can do that as well.

prateekmohan_0-1619724540898.png

Regards,

Prateek

0 Kudos
2 Replies
prateekmohan
Beginner
512 Views

Hello, just posting again - I tried doing a couple of things, but I still cannot understand why it does not work. I can certainly see transactions on the waveform, so the NiosII is doing something. But no idea at all why it is not getting to the print statement.

 

0 Kudos
EricMunYew_C_Intel
Moderator
463 Views

Can you try to connect the Nios data and instruction bus to on chip RAM.


0 Kudos
Reply