Intel® Distribution of OpenVINO™ Toolkit
Community assistance about the Intel® Distribution of OpenVINO™ toolkit, OpenCV, and all aspects of computer vision-related on Intel® platforms.

HDDL Error

AustinM
Beginner
365 Views

Hi,

I'm running openVino 2019.1.144 on an HPE Edgeline Movidius Myriad X VPU Module using HDDL and am experiencing a crash after running inference for about 10 minutes. The same code ran indefinitely on earlier versions of openVino.

The error that starts the app shutting down is:

[HDDLPlugin] [10:57:27.3385][27233]ERROR[HddlClient.cpp:728] Error: wait InferTaskSync(reqSeqNo=3 taskId=142853) result timeout failed.

Any help with the reason for this as well as a fix would be greatly appreciated.

Thanks,

-Austin

0 Kudos
2 Replies
AustinM
Beginner
365 Views

Never mind, I found the problem. I was using some older libraries in my code, once I updated them to the latest ones from the OpenVino distribution the problems went away.

0 Kudos
Shubha_R_Intel
Employee
365 Views

Dear AustinM,

I'm glad you were successful. Thanks for reporting your findings to the OpenVino community !

Shubha

0 Kudos
Reply