Intel® FPGA Software Installation & Licensing
Installation and Licensing that’s includes Intel Quartus® Prime software, ModelSim* - Intel FPGA Edition software, Nios® II Embedded Design Suite on Windows or Linux operating systems.
1143 Discussions

ARRIA 10GX doesn't show in lspci

YSika2
Beginner
2,576 Views

Hello everybody!

 

During installation process I've performed all necessary steps till this one: https://www.intel.com/content/www/us/en/programmable/documentation/tgy1490191698959.html#wmh1490212984610 After I've completed soft reboot there is no Altera device in lspci.

 

Also dmesg shows next:

aclpci_a10_ref_drv: loading out-of-tree module taints kernel.

aclpci_a10_ref_drv: module verification failed: signature and/or required key missing - tainting kernel

 

I would really appreciate any help and ready to provide details about system.

0 Kudos
12 Replies
KhaiChein_Y_Intel
1,639 Views
0 Kudos
YSika2
Beginner
1,639 Views

Hello KYeoh!

 

I blacklisted them in /etc/modprobe.d/blacklist.conf

No effect.

I should mention that I plugged FPGA not into PCIe 3 x8 bun itno PCIe 3 x16. Not sure that this could cause some problems but who knows.

 

Regards,

Yehor

0 Kudos
YSika2
Beginner
1,639 Views

Hello KYeoh!

 

I blacklisted them in /etc/modprobe.d/blacklist.conf

No effect.

I should mention that I plugged FPGA not into PCIe 3 x8 bun itno PCIe 3 x16. Not sure that this could cause some problems but who knows.

 

Regards,

Yehor

0 Kudos
KhaiChein_Y_Intel
1,639 Views
Hi YSika2, Could you provide the following information? 1. BSP version 2. OS 3. Kernel version 4. result of aocl install Thanks.
0 Kudos
YSika2
Beginner
1,639 Views

Thanks for answer but now problem is resolved.

Simply I replaced one host machine by another. And now not able to provide necessary info.

 

Regards,

Yehor

0 Kudos
YSika2
Beginner
1,639 Views

But seems like I still need your help.

Till 'aocl flash acl0 boardtest.aocx' I faced an error:

 

Device not part of know packages.

--------------------------------------------------------------------

Warning:

No devices attached for package:

/home/USERNAME/intelFPGA_pro/18.1/hld/board/a10_ref

 

I've tried to set JtagClock to 6M and install packages before programming flash.

  1. BSP version - a10_ref
  2. OS - Ubuntu 16.04.5 LTS
  3. Kernel - 4.15.0-43-generic
  4. aocl install produces next:

Do you want to install /home/USERNAME/intelFPGA_pro/18.1/hld/board/a10_ref? [y/n] y

aocl install: Adding the board package /home/USERNAME/intelFPGA_pro/18.1/hld/board/a10_ref to the list of installed pckages

aocl install: Setting up FCD

aocl install: Running install from /home/USERNAME/intelFPGA_pro/18.1/hld/board/a10_ref/linux64/libexec

Looking for kernel source files in /lib/modules/4.15.0-43-generic/build

Using kernel source files from /lib/modules/4.15.0-43-generic/build

Building driver for BSP with name a10_ref

make: Entering directory '/usr/src/linux-headers-4.15.0-43-generic'

 CC [M] /tmp/opencl_driver_P8ktFJ/aclpci_queue.o

 CC [M] /tmp/opencl_driver_P8ktFJ/aclpci.o

 CC [M] /tmp/opencl_driver_P8ktFJ/aclpci_fileio.o

 CC [M] /tmp/opencl_driver_P8ktFJ/aclpci_dma.o

 CC [M] /tmp/opencl_driver_P8ktFJ/aclpci_pr.o

 CC [M] /tmp/opencl_driver_P8ktFJ/aclpci_cmd.o

 LD [M] /tmp/opencl_driver_P8ktFJ/aclpci_a10_ref_drv.o

 Building modules, stage 2.

 MODPOST 1 modules

 CC   /tmp/opencl_driver_P8ktFJ/aclpci_a10_ref_drv.mod.o

 LD [M] /tmp/opencl_driver_P8ktFJ/aclpci_a10_ref_drv.ko

make: Leaving directory '/usr/src/linux-headers-4.15.0-43-generic'

 

Thanks,

Yehor

0 Kudos
KhaiChein_Y_Intel
1,639 Views

Hi YSika2,

 

What is the software edition(Pro/Standard) and version you are using? Is it v18.1?

 

Can you share the result of aocl diagnose and the steps taken?

 

Thanks.

 

0 Kudos
YSika2
Beginner
1,639 Views

I am using Pro edition v18.1.

 

aocl diagnose -------------------------------------------------------------------- Warning: No devices attached for package: /home/USERNAME/intelFPGA_pro/18.1/hld/board/a10_ref -------------------------------------------------------------------- Vendor: Intel(R) Corporation   Found no active device installed on the host machine.   Please make sure to: 1. Set the environment variable AOCL_BOARD_PACKAGE_ROOT to the correct board package. 2. Install the driver from the selected board package. 3. Properly install the device in the host machine. 4. Configure the device with a supported OpenCL design. 5. Reboot the machine if the PCI Express link failed.   DIAGNOSTIC_FAILED --------------------------------------------------------------------   Call "aocl diagnose <device-names>" to run diagnose for specified devices Call "aocl diagnose all" to run diagnose for all devices     aocl diagnose all return nothing   quartus_pgm -l Info: ******************************************************************* Info: Running Quartus Prime Programmer Info: Version 18.1.0 Build 222 09/21/2018 SJ Pro Edition Info: Copyright (C) 2018 Intel Corporation. All rights reserved. Info: Your use of Intel Corporation's design tools, logic functions Info: and other software and tools, and its AMPP partner logic Info: functions, and any output files from any of the foregoing Info: (including device programming or simulation files), and any Info: associated documentation or information are expressly subject Info: to the terms and conditions of the Intel Program License Info: Subscription Agreement, the Intel Quartus Prime License Agreement, Info: the Intel FPGA IP License Agreement, or other applicable license Info: agreement, including, without limitation, that your use is for Info: the sole purpose of programming logic devices manufactured by Info: Intel and sold by Intel or its authorized distributors. Please Info: refer to the applicable agreement for further details. Info: Processing started: Mon Jan 28 15:17:12 2019 Info: Command: quartus_pgm -l 1) USB-BlasterII [2-1.2] Info: Quartus Prime Programmer was successful. 0 errors, 0 warnings Info: Peak virtual memory: 736 megabytes Info: Processing ended: Mon Jan 28 15:17:12 2019 Info: Elapsed time: 00:00:00     jtagconfig 1) USB-BlasterII [2-1.2] 02E660DD 10AX115H1(.|E2|ES)/10AX115H2/.. 020A40DD 5M(1270ZF324|2210Z)/EPM2210     quartus_pgm -c 1 -m JTAG -o "p;/home/USERNAME/Downloads/max5_150.pof@2" Info: ******************************************************************* Info: Running Quartus Prime Programmer Info: Version 18.1.0 Build 222 09/21/2018 SJ Pro Edition Info: Copyright (C) 2018 Intel Corporation. All rights reserved. Info: Your use of Intel Corporation's design tools, logic functions Info: and other software and tools, and its AMPP partner logic Info: functions, and any output files from any of the foregoing Info: (including device programming or simulation files), and any Info: associated documentation or information are expressly subject Info: to the terms and conditions of the Intel Program License Info: Subscription Agreement, the Intel Quartus Prime License Agreement, Info: the Intel FPGA IP License Agreement, or other applicable license Info: agreement, including, without limitation, that your use is for Info: the sole purpose of programming logic devices manufactured by Info: Intel and sold by Intel or its authorized distributors. Please Info: refer to the applicable agreement for further details. Info: Processing started: Mon Jan 28 15:21:32 2019 Info: Command: quartus_pgm -c 1 -m JTAG -o p;/home/USERNAME/Downloads/max5_150.pof@2 Info (213045): Using programming cable "USB-BlasterII [2-1.2]" Info (213011): Using programming file /home/USERNAME/Downloads/max5_150.pof with checksum 0x00908D89 for device 5M2210ZF256@2 Info (209060): Started Programmer operation at Mon Jan 28 15:21:33 2019 Info (209017): Device 2 contains JTAG ID code 0x020A40DD Info (209018): Device 2 silicon ID is ALTERA10-6 Info (209044): Erasing MAXII/MAXV configuration device(s) Info (209023): Programming device(s) Info (209021): Performing verification on device(s) Info (209011): Successfully performed operation(s) Info (209061): Ended Programmer operation at Mon Jan 28 15:21:41 2019 Info: Quartus Prime Programmer was successful. 0 errors, 0 warnings Info: Peak virtual memory: 899 megabytes Info: Processing ended: Mon Jan 28 15:21:41 2019 Info: Elapsed time: 00:00:09       quartus_pgm -c 1 -m JTAG -o "p;/home/USERNAME/Downloads/top.sof" Info: ******************************************************************* Info: Running Quartus Prime Programmer Info: Version 18.1.0 Build 222 09/21/2018 SJ Pro Edition Info: Copyright (C) 2018 Intel Corporation. All rights reserved. Info: Your use of Intel Corporation's design tools, logic functions Info: and other software and tools, and its AMPP partner logic Info: functions, and any output files from any of the foregoing Info: (including device programming or simulation files), and any Info: associated documentation or information are expressly subject Info: to the terms and conditions of the Intel Program License Info: Subscription Agreement, the Intel Quartus Prime License Agreement, Info: the Intel FPGA IP License Agreement, or other applicable license Info: agreement, including, without limitation, that your use is for Info: the sole purpose of programming logic devices manufactured by Info: Intel and sold by Intel or its authorized distributors. Please Info: refer to the applicable agreement for further details. Info: Processing started: Mon Jan 28 15:22:36 2019 Info: Command: quartus_pgm -c 1 -m JTAG -o p;/home/USERNAME/Downloads/top.sof Info (213045): Using programming cable "USB-BlasterII [2-1.2]" Info (213011): Using programming file /home/USERNAME/Downloads/top.sof with checksum 0x308B210D for device 10AX115S2F45@1 Info (209060): Started Programmer operation at Mon Jan 28 15:22:43 2019 Info (209016): Configuring device index 1 Info (209017): Device 1 contains JTAG ID code 0x02E660DD Info (209007): Configuration succeeded -- 1 device(s) configured Info (209011): Successfully performed operation(s) Info (209061): Ended Programmer operation at Mon Jan 28 15:22:58 2019 Info: Quartus Prime Programmer was successful. 0 errors, 0 warnings Info: Peak virtual memory: 2338 megabytes Info: Processing ended: Mon Jan 28 15:22:58 2019 Info: Elapsed time: 00:00:22     jtagconfig --getparam 1 JtagClock 6M

Regards,

Yehor

0 Kudos
KhaiChein_Y_Intel
1,639 Views
Hi YSika2, Any updates? Thanks
0 Kudos
YSika2
Beginner
1,639 Views

I need to use FPGA with OpenVino toolkit so I just switched tutorial to this one https://software.intel.com/en-us/articles/OpenVINO-Install-Linux-FPGA . After this everything works properly

0 Kudos
YSika2
Beginner
1,639 Views

Hello. I need to use FPGA with OpenVino. I've just switched to another tutorial https://software.intel.com/en-us/articles/OpenVINO-Install-Linux-FPGA and everything work properly.

0 Kudos
Reply