Intel® FPGA Software Installation & Licensing
Installation and Licensing that’s includes Intel Quartus® Prime software, ModelSim* - Intel FPGA Edition software, Nios® II Embedded Design Suite on Windows or Linux operating systems.

Invalid license for ModelSim?

okramer
New Contributor I
733 Views

I purchased an Arria10GX dev kit and downloaded Quartus Prime Pro (19.3). I have a license file Intel sent via email. I can run QP and aocl. I just downloaded ModelSim (19.3) but I get an error at runtime:

$ ./vsim Unable to checkout a license. Make sure your license file environment variable (e.g., LM_LICENSE_FILE) is set correctly and then run 'lmutil lmdiag' to diagnose the problem. Unable to checkout a license. Vsim is closing. ** Fatal: Invalid license environment. Application closing.   $ ls $LM_LICENSE_FILE /home/okramer/intelFPGA_pro/1-RIWRVV_License.dat

 Here are some relevant excerpts from that license file. I don't see any mention of modelsim. How do I get that?

... # Product License Summary: # Quartus Pro Edition for Development Kits, 1 Seat(s) # - Maintenance Expiration of 2020.11 # - License Expires 29-Feb-2020 ... FEATURE quartus_nonvolatile_encryption ... FEATURE maxplus2 ... FEATURE quartus_pro ... FEATURE quartus ...

 

0 Kudos
1 Reply
AR_A_Intel
Employee
588 Views

Hello

 

Welcome to INTEL forum. Based on my understanding Model Sim is not included in dev.kit bundle. Kindly contact your nearest Altera sales person or distributor to purchase a valid license file.

To order by phone:

In the United States and Canada, call Telesales at 1-888-800-0631.

Outside the United States or Canada, contact your local sales representative or distributor.

https://www.intel.com/content/www/us/en/partner/where-to-buy/overview.html     

Buy online. 

https://www.altera.com/buy/design-software.html

0 Kudos
Reply