Intel® FPGA Software Installation & Licensing
Installation and Licensing that’s includes Intel Quartus® Prime software, ModelSim* - Intel FPGA Edition software, Nios® II Embedded Design Suite on Windows or Linux operating systems.

Licensing Error

Abdullah_Dernaika
511 Views
Please help me to solve this error: **** Running the ModelSim simulation **** c:/altera/13.1/modelsim_ae/win32aloem//vsim -c -do test1.do Unable to checkout a license. Vsim is closing. ** Fatal: Invalid license environment. Application closing. Unable to checkout a license. Make sure your license file environment variables are set correctly and then run 'lmutil lmdiag' to diagnose the problem. Modelsim-Altera uses the following environment variables to check the licenses (listed in the order of preference) 1. MGLS_LICENSE_FILE 2. LM_LICENSE_FILE. Error.
0 Kudos
2 Replies
Zawani_M_Intel
Employee
478 Views

Hi Abdullah_Dernaika,

Thank you for using Intel FPGA Community Forum.

Can you confirm if you use ModelSim-Altera Starter Edition or Modelsim - Intel FPGA Edition ?
Looks like you do not have a valid license for Modelsim.
If you do not have the license, you may use ModelSim-Altera Starter Edition.

When you install Quartus, you have the option of installing the Starter edition or Intel FPGA edition. If you wrongly installed the Intel FPGA edition, you would get this license issue. If you have the modelsim_ase directory as mentioned, then you installed the correct version and should check the environment variables.

Thanks!

Wani

0 Kudos
Zawani_M_Intel
Employee
443 Views

We do not receive any response from you to the previous question/reply/answer that I have provided. This thread will be transitioned to community support.

If you have a new question, feel free to open a new thread to get the support from Intel experts. Otherwise, the community users will continue to help you on this thread.


Thank you.


Wani


0 Kudos
Reply