Intel® FPGA Software Installation & Licensing
Installation and Licensing that’s includes Intel Quartus® Prime software, ModelSim* - Intel FPGA Edition software, Nios® II Embedded Design Suite on Windows or Linux operating systems.
1154 Discussions

Modelsim ASE starter not directly support UVM

xuyang
Novice
6,959 Views

Hi Guys, I installed modelsim ASE starter edition 2021.02.

From common knowledge, I thought this tool has pre-compiled UVM features. But after I installed, I found `include "uvm_macros.svh" cannot be compiled. Then I checked library, no UVM related libs.

Did I missed some steps?

 

 

 

lib.pngwarning.png

0 Kudos
1 Solution
Nurina
Employee
6,937 Views

Hi,


Unfortunately ModelSim does not have pre-compiled UVM features. You are probably thinking of Questa Sim.


You need to download UVM source files, you can do that here: https://www.accellera.org/downloads/standards/uvm


And you'll need to compile the uvm_pkg as well.


vlog -work work -sv -stats=none C:/work/ST/simulation/tb_captur_1.sv <uvm_pkg path>/uvm_pkg.sv +incdir+<UVM source path>/src


Regards,

Nurina


View solution in original post

22 Replies
Nurina
Employee
6,938 Views

Hi,


Unfortunately ModelSim does not have pre-compiled UVM features. You are probably thinking of Questa Sim.


You need to download UVM source files, you can do that here: https://www.accellera.org/downloads/standards/uvm


And you'll need to compile the uvm_pkg as well.


vlog -work work -sv -stats=none C:/work/ST/simulation/tb_captur_1.sv <uvm_pkg path>/uvm_pkg.sv +incdir+<UVM source path>/src


Regards,

Nurina


xuyang
Novice
6,097 Views

Hi Nurina

 

Thank you for your help, now the compilation can be proceed, and the tb module appears in work lib. Information shows below:

vlog -work work -sv -stats=none C:/work/ST/simulation/tb_capture_1.sv C:/intelFPGA_pro/21.1/modelsim_ase/uvm_lib/src/uvm_pkg.sv +incdir+C:/intelFPGA_pro/21.1/modelsim_ase/uvm_lib/src/
# Model Technology ModelSim - Intel FPGA Edition vlog 2021.1 Compiler 2021.02 Feb 3 2021
# -- Compiling package tb_capture_1_sv_unit
# -- Importing package uvm_pkg
# -- Compiling module tb_capture_1
# -- Compiling package uvm_pkg
#
# Top level modules:
# tb_capture_1

 

But when I load the "tb_capture_1", error happens:

 

vsim work.tb_capture_1
# vsim work.tb_capture_1
# Start time: 16:32:57 on Jun 10,2021
# ** Fatal: (vsim-7019) Can't locate a C/C++ compiler for 'DPI Export Compilation'.
#
# FATAL ERROR while loading design
# Error loading design

 

Should I also install other software?

0 Kudos
Nurina
Employee
6,079 Views

Hi,


The Fatal Error occurs when the module for gcc compilation is insufficient. Can you go to the installation folder of ModelSim and confirm if gcc-4.2.1-mingw32vc12 exists? Let me know if it has a different name like vc9.


Once confirmed the correct gcc folder exists, check that the path of the C compiler in correctly set in environment variable path:

  1. Open Environment Variables. To do this, go to Control Panel->System & Security->System->Advanced system settings->Environment Variables...
  2. Under User Variables, go to "Path" and click "Edit"
  3. Check that it has the following path included: <modelsim installation path>\modelsim_ase\win32aloem
  4. If path not included, click "New" and enter the mentioned path.


Please let me know the results.


Regards,

Nurina


xuyang
Novice
6,075 Views

Hi Nurina,

 

The environment variable exists, and gcc folder also exists, you can see in attached screen shots:

 

 

env_var.pnggcc.png

0 Kudos
Nurina
Employee
6,063 Views

Hi,


Can you attach your .qar file so I can reproduce this error?


Thanks,

Nurina


0 Kudos
xuyang
Novice
6,058 Views

Hi Nurina

 

I'm not simulate it in quartus environment. I directly build a modelsim project, and the rtl is quite simple. You can check this in attach file.

0 Kudos
Nurina
Employee
6,052 Views

Thanks. I'm reporting this problem to engineering and I'll let you know what they come back with.

 

Regards,

Nurina

0 Kudos
xuyang
Novice
6,051 Views
0 Kudos
Nurina
Employee
6,019 Views

Hi,


Can you let me know which UVM library you used?


Thanks,

Nurina


0 Kudos
xuyang
Novice
6,013 Views
Hi Nurina

I will be back to office on 16th, will find the information then.
0 Kudos
Nurina
Employee
5,997 Views

Hi,

 

Actually it's alright. I couldn't seem to unzip the .tar.gz properly earlier and now I can. Again, I'll let you know what engineering comes back with.

 

Regards,

Nurina

0 Kudos
Nurina
Employee
5,927 Views

Hi,


I don't have any feedback from engineering at the moment. Can you try this with ModelSim AE instead though?


Regards,

Nurina


0 Kudos
xuyang
Novice
5,917 Views

Hi Nurina

 

Our company doesn't have Modelsim license. I can try this starter edition in my personal PC later. Thank you all the same.

0 Kudos
Nurina
Employee
5,904 Views

Hi,


I was able to compile it on ModelSim Intel FPGA Starter Edition Version 2020.1, can you migrate to this version instead? You can download the individual ModelSim file here: https://fpgasoftware.intel.com/20.1/?edition=standard&platform=windows


Regards,

Nurina


xuyang
Novice
5,882 Views

Hi Nurina

I will try to downgrade my version, then tell you the result later. Thanks.

 

0 Kudos
Nurina
Employee
5,861 Views

Hi,


Do you have any updates?


Nurina


0 Kudos
xuyang
Novice
5,846 Views

Hi Nurina

 

Sorry for late response, I just back from vacation.

 

I tried v20.1 in another server, but the result is the same:

#
# Top level modules:
# tb_capture_1
# vsim -c tb_capture_1 -voptargs=""+acc=r""
# Start time: 10:47:37 on Jul 13,2021
# ** Fatal: (vsim-7019) Can't locate a C/C++ compiler for 'DPI Export Compilation'.
#
# FATAL ERROR while loading design
# Error loading design
# Error: Error loading design

 

I already set the environment variable in that server, and use same command parameters.

 

Since the problem is already different from original question, I think you might close this question, and I may do some experiment in different tools. Thanks for so much helps!

0 Kudos
Nurina
Employee
5,841 Views

Hi,

 

Maybe you downloaded the wrong UVM source file? It's odd that you're seeing this error because our internal team has fixed this error in Quartus v17.0. 

OK I shall close this thread. I’m glad that your original question has been addressed, I now transition this thread to community support. If you have a new question, feel free to open a new thread to get the support from Intel experts. Otherwise, the community users will continue to help you on this thread. Thank you.

Regards,
Nurina

P/S: If you like my comment, feel free to give Kudos. If my comment solved your problem, feel free to accept my comment as solution!

0 Kudos
xuyang
Novice
5,837 Views

Hi Nurina

I use the UVM src together with the modelsim installation. I think it should has best compatibility.

You mentioned the error has been fixed in Quartus. My installation of elder version 20.1, only include modelsim starter edition, no Quatus 20.1 installed. Would this installation type runs well?

 

0 Kudos
Nurina
Employee
5,818 Views

Hi,

 

I used your previous method of saving the UVM source files in uvm_lib folder and it worked. As long as you specify the path of the uvm library on ModelSim then it should be fine.

I believe your installation type should be fine, as long as you have the DPI tool installed (gcc-4.2.1-mingw32vc12). 

Could you try to using the following source code? That one worked for me. https://www.accellera.org/downloads/standards/uvm

NurinaW_0-1626157953320.png

 

Reply