Intel® FPGA Software Installation & Licensing
Installation and Licensing that’s includes Intel Quartus® Prime software, ModelSim* - Intel FPGA Edition software, Nios® II Embedded Design Suite on Windows or Linux operating systems.

Modelsim license issue

srinivasan
Beginner
501 Views
Hi,
I am facing license error in model sim...
Error: cannot find license file
Error:Failure to obtain license.
But I have loaded my license file in quartus tool..I can able to compile my code also...

But modelsim showing such error...is there any setting need to change??...

Can anyone please help on this??
0 Kudos
2 Replies
AR_A_Intel
Employee
482 Views

Hello

 

Welcome to Intel forum. But before setting up Modelsim, would you kindly help check, whether your machine is supported or not (OS) by referring to link below:

https://www.altera.com/support/support-resources/download/os-support.html             

For ModelSim software, you must set an environment variable the location of license. you need to specify location of the license file using the LM_LICENSE_FILE system variable (click/checkout the Use LM_LICENSE_FILE variable). You need to set your LM_LICENSE_FILE environment variable to point to the license that Intel provide. c:\intel\license.dat or something similar.

Please stop and restart PC after assigning.

 

You can refer to link on how to set environment variable.

https://software.intel.com/en-us/articles/how-to-set-intel-license-file-environment-variable

 

You can also refer this following YouTube for license setup. https://www.youtube.com/watch?v=Ob9ei5lMgGE  

 

Let me know if this information is helpful.


0 Kudos
AR_A_Intel
Employee
455 Views

We do not receive any response from you to the previous reply/answer that I have provided. This thread will be transitioned to community support. If you have a new question, feel free to open a new thread to get the support from Intel experts. Otherwise, the community users will continue to help you on this thread. Thank you


0 Kudos
Reply