Intel® FPGA Software Installation & Licensing
Installation and Licensing that’s includes Intel Quartus® Prime software, ModelSim* - Intel FPGA Edition software, Nios® II Embedded Design Suite on Windows or Linux operating systems.

Questa not fetching license

grspbr
New Contributor I
4,064 Views

I've installed Quartus Prime Pro 21.3 but cannot get a license.

license, server, deamon - all up to date and working

Our IT has investigated and can't find a problem with computer, nor network, but questa does not send a request to checkout license.

I tried re-installing questa but still won't start due to "unable to checkout license..." error.

"lmutil lmdiag" gives:

Enter <CR> to continue:
"intelqsim" v2022.10, vendor: mgcld
License server: ASATNETDC2
floating license starts: 20-oct-2021, expires: 20-oct-2022

This license can be checked out
-----------------------------------------------------

 

Is there a patch? I'm using QuestaSetup-21.3.0.170-windows

Thanks

 

0 Kudos
5 Replies
AR_A_Intel
Employee
4,040 Views

Hi Sir

 

Welcome to INTEL forum. To further understand the issue, could you get me the:

1) Debug/error.log file

2) Error message screen shot

3) Screenshot of Quartus II>>tools>>license setup

4) Machine OS information

5) Quartus version used

6) Output of “lmutil lmstat –a –c <port>@<address>” server and client

7) License.dat file

 

And for privacy, you can reply/attach your file in private message


0 Kudos
AR_A_Intel
Employee
3,981 Views

We do not receive any response from you to the previous reply that I have provided. This thread will be transitioned to community support. If you have a new question, feel free to open a new thread to get the support from Intel experts. Otherwise, the community users will continue to help you on this thread. Thank you


0 Kudos
DrNickels
New Contributor I
3,698 Views

Hi,

 We are moving from DE0 Boards (Cyclone III) with Quartus 13.1 and vsim (one class) or modelsim-altera (another class), moving to

DE10-Lite boards (MAX10) with Quartus 21.1 and vsim / questa.

 

We've got the same issue as the OP.  When I go to do a University Waveform File (or run Tools/Run Simulation Tool), I get a licensing error.  We have the intelqsim license code.

 

Here is the output from the UWF run (vsim):

 

Determining the location of the ModelSim executable...
Using: C:/intelFPGA/21.1/questa_fse/win64
To specify a ModelSim executable directory, select: Tools -> Options -> EDA Tool Options
Note: if both Questa Intel FPGA Edition and ModelSim executables are available, Questa Intel FPGA Edition will be used.
**** Generating the ModelSim Testbench ****
quartus_eda --gen_testbench --tool=modelsim_oem --format=vhdl --write_settings_files=off version1 -c version1 --vector_source="U:/engr3181_work/tach_project/de10_tach/Waveform.vwf" --testbench_file="U:/engr3181_work/tach_project/de10_tach/simulation/qsim/Waveform.vwf.vht"

Info: *******************************************************************
<snip snip>
Completed successfully. 
**** Generating the functional simulation netlist ****
quartus_eda --write_settings_files=off --simulation --functional=on --flatten_buses=off --tool=modelsim_oem --format=vhdl --output_directory="U:/engr3181_work/tach_project/de10_tach/simulation/qsim/" version1 -c version1
Info: *******************************************************************
Info: Running Quartus Prime EDA Netlist Writer
<snip snip>
Info: Quartus Prime EDA Netlist Writer was successful. 0 errors, 25 warnings
    Info: Peak virtual memory: 4689 megabytes
    Info: Processing ended: Thu Jan 27 16:09:33 2022
    Info: Elapsed time: 00:00:14
    Info: Total CPU time (on all processors): 00:00:01

Completed successfully. 

**** Generating the ModelSim .do script ****

U:/engr3181_work/tach_project/de10_tach/simulation/qsim/version1.do generated.

Completed successfully. 

**** Running the ModelSim simulation ****

C:/intelFPGA/21.1/questa_fse/win64/vsim -c -do version1.do

Unable to checkout a license. Make sure your license file environment variable (e.g., LM_LICENSE_FILE)
is set correctly and then run 'lmutil lmdiag' to diagnose the problem.

Unable to checkout a license.  Vsim is closing.
** Error: Invalid license environment. Application closing.

Error. 

 

 

I've attached picture of the error when I try to launch questa from Tools/Run Simulation Tool as well as the license setup from the menu.

 

Here is the license setup from lmutil:

 


PS C:\intelFPGA\21.1\quartus\bin64> lmutil lmstat -a -c 27xyz@xyz.trinity.edu
lmutil - Copyright (c) 1989-2019 Flexera. All Rights Reserved.
Flexible License Manager status on Thu 1/27/2022 16:04

[Detecting lmgrd processes...]
License server status: 27006@ITS-Morris
License file(s) on ITS-Morris: C:\Quartus\license.dat:

ITS-Morris: license server UP (MASTER) v11.16.2

Vendor daemon status (on ITS-Morris):

alterad: UP v11.16.2
Feature usage info:

Users of 6AF7_00CB: (Total of 37 licenses issued; Total of 0 licenses in use)

Users of 6AF7_00BC: (Total of 37 licenses issued; Total of 0 licenses in use)

Users of 6AF7_0005: (Total of 37 licenses issued; Total of 0 licenses in use)

Users of 6AF7_0079: (Total of 37 licenses issued; Total of 0 licenses in use)

Users of 6AF7_00A2: (Total of 74 licenses issued; Total of 0 licenses in use)

Users of 6AF7_0022: (Total of 37 licenses issued; Total of 0 licenses in use)

Users of 6AF7_0011: (Total of 37 licenses issued; Total of 0 licenses in use)

Users of 6AF7_0024: (Total of 37 licenses issued; Total of 0 licenses in use)

Users of 6AF7_0025: (Total of 37 licenses issued; Total of 0 licenses in use)

Users of 6AF7_0088: (Total of 37 licenses issued; Total of 0 licenses in use)

Users of 6AF7_0095: (Total of 37 licenses issued; Total of 0 licenses in use)

Users of ip_base: (Total of 74 licenses issued; Total of 0 licenses in use)

Users of 6AF7_00BD: (Total of 37 licenses issued; Total of 0 licenses in use)

Users of maxplus2: (Total of 37 licenses issued; Total of 0 licenses in use)

Users of maxplus2verilog: (Total of 37 licenses issued; Total of 0 licenses in use)

Users of maxplus2vhdl: (Total of 37 licenses issued; Total of 0 licenses in use)

Users of quartus: (Total of 37 licenses issued; Total of 0 licenses in use)

Users of 6AF7_00D8: (Total of 74 licenses issued; Total of 0 licenses in use)

Users of 6AF7_0014: (Total of 74 licenses issued; Total of 0 licenses in use)

Users of 6AF7_0034: (Total of 74 licenses issued; Total of 0 licenses in use)

mgcld: UP v11.6
Feature usage info:

Users of mgcvipae: (Total of 74 licenses issued; Total of 0 licenses in use)

Users of mgcvipaeaxi: (Total of 148 licenses issued; Total of 0 licenses in use)

Users of mgcvipaeaxi4: (Total of 74 licenses issued; Total of 0 licenses in use)

Users of alteramtivsim: (Total of 74 licenses issued; Total of 0 licenses in use)

Users of intelqsim: (Total of 37 licenses issued; Total of 0 licenses in use)



PS C:\intelFPGA\21.1\quartus\bin64>

 

 

0 Kudos
DrNickels
New Contributor I
3,686 Views

To follow up on my own message:  My IT folks pointed out that the license is for the full edition of Questa, but I was running the starter edition.  I installed the full edition and got a slightly different error message, but no more luck overall.  

 

The error from Questa is below, and the error via waveform sim is:

**** Running the ModelSim simulation ****
C:/intelFPGA/21.1/questa_fe/win64/vsim -c -do version1.do
Reading pref.tcl
# 2021.2
# do version1.do
# ** Warning: (vlib-34) Library already exists at "work".
# Errors: 0, Warnings: 1
# Questa Intel FPGA Edition-64 vcom 2021.2 Compiler 2021.04 Apr 14 2021
# Start time: 09:21:54 on Jan 28,2022
# vcom -work work version1.vho 
# -- Loading package STANDARD
# -- Loading package TEXTIO
# -- Loading package std_logic_1164
# -- Loading package VITAL_Timing
# -- Loading package VITAL_Primitives
# -- Loading package fiftyfivenm_atom_pack
# -- Loading package fiftyfivenm_components
# -- Compiling entity hard_block
# -- Compiling architecture structure of hard_block
# -- Loading package dffeas_pack
# -- Loading package altera_primitives_components
# -- Compiling entity version1
# -- Compiling architecture structure of version1
# End time: 09:21:55 on Jan 28,2022, Elapsed time: 0:00:01
# Errors: 0, Warnings: 0

# Questa Intel FPGA Edition-64 vcom 2021.2 Compiler 2021.04 Apr 14 2021
# Start time: 09:21:55 on Jan 28,2022
# vcom -work work Waveform.vwf.vht 
# -- Loading package STANDARD
# -- Loading package TEXTIO
# -- Loading package std_logic_1164
# -- Compiling entity version1_vhd_vec_tst
# -- Compiling architecture version1_arch of version1_vhd_vec_tst
# End time: 09:21:56 on Jan 28,2022, Elapsed time: 0:00:01
# Errors: 0, Warnings: 0

# vsim -novopt -c -t 1ps -L fiftyfivenm -L altera -L altera_mf -L 220model -L sgate -L altera_lnsim work.version1_vhd_vec_tst 
# Start time: 09:21:56 on Jan 28,2022
# ** Error (suppressible): (vsim-12110) All optimizations are disabled because the -novopt option is in effect. This will cause your simulation to run very slowly. If you are using this switch to preserve visibility for Debug or PLI features, please see the User's Manual section on Preserving Object Visibility with vopt. -novopt option is now deprecated and will be removed in future releases.

# Error loading design
Error loading design
# End time: 09:21:56 on Jan 28,2022, Elapsed time: 0:00:00
# Errors: 1, Warnings: 0
Error. 
0 Kudos
DrNickels
New Contributor I
3,684 Views

So I did manage to get a better error from lmutil (below), but I'm not quite sure what to make of it.

U:\engr3181_work\tach_project\de10_tach\simulation\qsim>lmutil lmdiag intelqsim
lmutil - Copyright (c) 1989-2019 Flexera. All Rights Reserved.
FlexNet diagnostics on Fri 1/28/2022 09:45
-----------------------------------------------------
License file: 27xyz@xyz.trinity.edu
-----------------------------------------------------
No licenses for intelqsim in license file/TS
-----------------------------------------------------
License file: 27abc@abc.trinity.edu
-----------------------------------------------------
"intelqsim" v2022.10, vendor: mgcld, expiry: 29-oct-2022
  vendor_string: 6D0C396A
  License server: ITS-ABC
  floating license  starts: 29-oct-2021,   expires: 29-oct-2022
This license cannot be checked out because:
Invalid returned data from license server system.
Feature:       intelqsim
License path:  27xyz@xyz.trinity.edu;27abc@abc.trinity.edu;
FlexNet Licensing error:-12,51025
-----------------------------------------------------
U:\engr3181_work\tach_project\de10_tach\simulation\qsim>

 

0 Kudos
Reply