Intel® FPGA University Program
University Program Material, Education Boards, and Laboratory Exercises
1175 Discussions

DE2 Expansion Header Mystery

Altera_Forum
Honored Contributor II
1,393 Views

I recently received an Atera DE2 board with a Cyclone II FPGA. I have been experimenting with the expansion headers and I am having trouble understanding why my daughter card is not receiving any power. When I turn the assigned switch to high my DMM reads 3.3 volts at the end of the IDE cable (when it is not connected to the daughter card). This is the correct result. 

 

However, when I connect the IDE cable to my daughter card (with logic code below) the voltage actually drops to something like .6V when it is connected. Obviously, the daughter card doesn't work.  

 

BUT, if I disconnect the IDE cable and reassign the pins so that power to the daughter card comes from the constant vcc 3.3 volt pin and gnd it works with no problems. (But I have no control of the vcc pins) 

 

I was under the impression that each expansion header pin had an output of 3.3v. Am I missing something? I apologize for my ignorance. I'm learning! Any ideas/guidance would be greatly appreciated. 

 

 

The extremely basic code: 

 

 

library ieee; use ieee.std_logic_1164.all; entity expansion is port( a : in std_logic; y : out std_logic ); end entity expansion; architecture when_else of expansion is begin y <= a; end architecture when_else; --Pin Assignment a = PIN_N25 y = PIN_D25
0 Kudos
3 Replies
Altera_Forum
Honored Contributor II
671 Views

Sounds like you are confusing the expansion header supply pins, or shorting (overloading) the supply. 

 

I just can tell, that the pins assignment shown in user manual and schematic diagram are correct. 

 

 

--- Quote Start ---  

--Pin Assignment  

a = PIN_N25  

y = PIN_D25 

--- Quote End ---  

 

That's not a vaild method to specify a pin assignment.
0 Kudos
Altera_Forum
Honored Contributor II
671 Views

FvM - 

 

Sorry to confuse you, that pin assignment isn't part of my code, I added it for clarification on the forum.  

 

However, I think you may be correct about overloading. I was recently informed that the DE2 expansion pins have a max output of 8mA or so (not sure why this isn't in the user manual) . I have a feeling the daughter card needs more :( flow. Thanks for your help.
0 Kudos
Altera_Forum
Honored Contributor II
671 Views

You're confusing signal pins with power supply pin. 

 

The expansion header has VCC 5V on pin 11, VCC 3.3V on pin 29 and GND on pins 12 and 30. 

All other pins on the expansion header are signal pins. You can't power things from them.
0 Kudos
Reply