Intel® FPGA University Program
University Program Material, Education Boards, and Laboratory Exercises
1174 Discussions

Hello, Why are unused LEDs dimly lit but the rest of the FPGA is working as expected? What is the best way to ensure all unused LEDs are off? Thank you, Jeremy

JMccu10
Beginner
2,925 Views

The code is working fine except that LEDs [9:1] are dimly lit.

I am using the free software - Quartus Prime Lite 18.1 and the ModelSim.

 

module Mux_4_to_1 (Mux_Out, Sel, Mux_In);

input [1:0] Sel;

input [3:0] Mux_In;

output Mux_Out;

reg Mux_Out = 1'b0;

 

always @(*)

   case (Sel)

      2'b00: Mux_Out = Mux_In[0];

      2'b01: Mux_Out = Mux_In[1];

      2'b10: Mux_Out = Mux_In[2];

      2'b11: Mux_Out = Mux_In[3];

      default: Mux_Out = Mux_In[0];

   endcase      

endmodule

0 Kudos
1 Solution
KhaiChein_Y_Intel
2,250 Views

Hi,

 

You have to solder the JP5 2-pin header only if you are using the dual image boot function on the DE10-Lite board

 

Thanks.

View solution in original post

0 Kudos
15 Replies
KhaiChein_Y_Intel
2,250 Views

Hi,

 

Can you check if you have the pin assigned correctly?

Please refer to Table 3-5 Pin Assignment of LEDs in the DE10-Lite User Manual

https://www.terasic.com.tw/cgi-bin/page/archive_download.pl?Language=English&No=1021&FID=a13a2782811152b477e60203d34b1baa

 

Thanks.

 

0 Kudos
JMccu10
Beginner
2,250 Views
Thank you for you help. Yes, the one Led that I am using is LED[0]. This LED works as I expected. The issue is the other LEDs are light dimly and they are not in my code. [cid:5ac5306e-e5b0-41be-824f-0b1cecccc43b] Thank you, Jeremy
0 Kudos
JMccu10
Beginner
2,250 Views

Hello,

 

It doesn't look like my attached picture went through. Yes, I verified the correct LED pin is being used. I have attach a screenshot of my pin planner and the user guide Table 3-5. I have also, attached my .qsf and .sdc files with added extension .txt so they would attach. Any help is appreciated.

 

 

 

Thank you,

Jeremy

0 Kudos
KhaiChein_Y_Intel
2,250 Views

Hi,

 

Have you tried to run the example design to check the functionality of the LED in hardware? If the LED behavior is expected for the example design, this could be the problem of the specific project. Do you have a timing clean project?

 

Thanks.

0 Kudos
JMccu10
Beginner
2,250 Views

Hello YY,

 

Thank you for your reply.

 

What example design are you referring to? I have ran the Control Panel and this seems to work perfectly. I ran the VGA_Pattern demonstration file and it works perfectly.

 

I have finished a few small proejcts and so far they all show 100% Timing Analysis when I finish compiling using Quartus Prime Lite 18.1. Is this what you meant by timing clean project?

 

Also the projects work as expected..except that unassigned LEDs are dimly lit after I load the DE10 Lite. Now I do not have an SDC file in my projects but I have tried this by using the System Builder but I still have the issue.

 

Thank you,

Jeremy

0 Kudos
KhaiChein_Y_Intel
2,250 Views

Hi,

 

The example design is the example mentioned in the user guide. As you mentioned, the demonstrations are working fine, I suppose it is not the problem of the LED.

 

May I request the design.qar file?

 

Thanks.

0 Kudos
JMccu10
Beginner
2,250 Views

Hello YY,

 

I just looked up the example files I believe you are referring to. (https://www.intel.com/content/www/us/en/programmable/support/support-resources/design-software/quartus-ii/quartus.html) . I haven't ran any of these. The VGA demo files I have ran are in: DE10-Lite_v.2.0.3_SystemCD\Demonstrations\VGA_Pattern . The VGA file along with the others work great and no LEDs are dimly lit. However, with my projects, the LEDs that are not used are dimly lit. I'm not sure what I am missing. It seems as if the LEDs may be tied to a "weak" pull down?? I will attach one of my projects including my test bench (which simulates fine).

0 Kudos
JMccu10
Beginner
2,250 Views
posted a file.
0 Kudos
KhaiChein_Y_Intel
2,250 Views

Hi,

 

Could you try to use the demo design and replace the design files with your design to see if this is the settings problem?

 

Thanks.

 

0 Kudos
JMccu10
Beginner
2,250 Views

Hello YY,

 

Thank you for replying. I won't be able to try your suggestion for a few days but I will repost when I try it.

 

Thank you,

Jeremy

0 Kudos
KhaiChein_Y_Intel
2,250 Views

Hi,

 

Sure. Please let me know if you have any questions.

 

Thanks.

0 Kudos
KhaiChein_Y_Intel
2,250 Views

Hi,

 

May I know if you have any updates?

 

Thanks.

0 Kudos
JMccu10
Beginner
2,250 Views

Hello YY,

 

 

No, I haven't made any headway with the LED issue yet. My work-around is to have all 10 LEDs defined as output and then tie the to the state of one the toggle switches. That way they are either full on or full off. This covers up my issue with unused LEDs dimly lit because they are all used now.

Thank you for checking back in. I've haven't been able to spend the time to troubleshoot this due to college and work schedules but I will hopefully have more time soon.

 

Also, is it true that I need to solder a jumper wire on JP5 to load a BIT file into the flash RAM? I'm surprised a jumper (or posts) isn't already installed.

 

DE10-Lite

 

Thank you,

Jeremy

0 Kudos
KhaiChein_Y_Intel
2,251 Views

Hi,

 

You have to solder the JP5 2-pin header only if you are using the dual image boot function on the DE10-Lite board

 

Thanks.

0 Kudos
JMccu10
Beginner
2,250 Views

Thank you. I am able to load the flash now.

 

Jeremy

0 Kudos
Reply