Intel® FPGA University Program
University Program Material, Education Boards, and Laboratory Exercises
1174 Discussions

ModelSim required for Intro to Digital lab course?

GHart10
Novice
1,069 Views

For an intro to digital logic course does one need Modelsim for the lab?

We use Altera FPGA and introduce Verilog programing of simple circuits (Registers, counters, ALU etc..)   Its seems all the coding can be done using Quartus Prime including some waveform simulation (VWF) for design verification.  Of course, Quartus can then compile the design onto the FPGA hardware.  Why would I use ModelSim in this case?  any suggestions is appreciated.

  thanks , Glen

0 Kudos
8 Replies
YEan
Employee
1,056 Views

Hi Glen,

 

May I know which digital logic course you refer to? Modelsim was a simulator to see how the code react to the stimulus. It is useful to debug the result if there are problem in your code. When your design gets complicated, it is suggest to use Modelsim to simulate.

 

You may refer this introduction to have more understanding

https://eecs.ceas.uc.edu/~purdycc/embedfall16/doc/tutorial_quartusii_simulation_verilog.pdf

 

Thanks,

Ean

 

0 Kudos
GHart10
Novice
1,043 Views

Hi YEan,

 

thanks for the information.  I teach introduction to digital logic lecture and lab at community college.

 

I have a better understanding of Modelsim now.  It I want to do some sophisticated timing analysis on a complex system then Modelsim (now Questa) is great for this.

 

Thanks for the pdf document.  I noticed its for Quartus Prime 16.0 which is alittle outdated.

 

I have used VWF program for some basic circuits (coutners, registers etc...).  

 

One thing that confuses me is  the 'University Program VWF'  actually using Modelsim?   So, Modelsim is embedded in Quartus Prime software?

 

thanks,

Glen

 

0 Kudos
YEan
Employee
1,039 Views

Hi Glen,

 

University Program VWF'  is not using Modelsim, it was Intel’s Quartus Prime CAD system. 

Yes, Modelsim is embedded in Quartus as it can be used independently or in conjunction with Quartus, Xilinx, and more.

 

Thanks,

Ean

0 Kudos
GHart10
Novice
1,035 Views

Hi Ean,

that makes sense now.  When I took over the lab class we used VWF which honestly is kindof clunky.  I think it makes more sense to introduce students to both Quartus and Modelsim early as thats what industry uses.   

 

Are there any Intel seminars/tutorials that show how to use Modelsim with Quartus/Verilog?  A good introductory class.   I haven't found any so far...

 

thanks for your feedback,

Glen

0 Kudos
YEan
Employee
1,022 Views

Hi Glen,

 

There are demo videos for Modelsim such as https://www.youtube.com/watch?v=eviC0jP90ZA or you may find related video from Intel FPGA's Youtube channel  https://www.youtube.com/channel/UC0wEPiFb0J6AZZ3oPXRoRpw . Please do note that starting with Intel® Quartus® Prime version 21.3, the ModelSim*-Intel® FPGA edition software has been discontinued and replaced by the Questa*-Intel® FPGA Edition software. You may refer to this https://www.intel.com/content/www/us/en/software/programmable/quartus-prime/model-sim.html?wapkw=modelsim .

 

Thanks,

Ean

0 Kudos
GHart10
Novice
1,016 Views

Hi Ean,

 

Thanks for the information.  Its very helpful.  I did notice that ModelSim is not supported starting with rev 21.3. 

Also, if I read , if  correctly there is no unlicensed version of Questa and it requires a license fee.   Is this true?  Is there no 'free'  university version of Questa for education purposes?

 

Most Universities I've seen are still on ver 18.0 as it takes time and considerable effort to revise all the documentation and update all the lab computers with newer version so Quartus.  And as you know once one gets the sofrware stable,  one if very reluctant to move to the next software rev.     I recently took a  Intel FPGA training course and they are using rev 18 version of Quartus.  It seems academia lags the industry software revisions by several generations...

 

I appreciate your support,

-Glen

 

 

0 Kudos
YEan
Employee
995 Views

Hi Glen, 

 

Yes it is true that QuestaSim required a license but it's free. The users able to request the license in Intel® FPGA Self-Service Licensing Center for lite edition.

 

As for the lab exercises, it was still in plan to update currently.

 

Thanks,

Ean

0 Kudos
GHart10
Novice
976 Views

Hi Ean,

Thanks for the update

-Glen

0 Kudos
Reply