Intel® FPGA University Program
University Program Material, Education Boards, and Laboratory Exercises
1174 Discussions

help with shift register problme

deddi
Beginner
1,091 Views
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all;     entity XOU is port (clk,r,dec:in std_logic;dout:out std_logic); end XOU; architecture AXOU of XOU is signal dd: std_logic_vector(7 downto 0); begin process(clk,dec,r) begin if r='1' then dout<='0';--dd<=(others=>'0');; elsif rising_edge(clk) then if dec='0' then dout<='0'; --dd<=(others=>'0'); else dout<=dd(7 downto 1)&'0'; end if; end if ; end process; end AXOU;

hi; i was wondering if u could help me with the Error (10327): VHDL error (can't determine definition of operator ""&"" -- found 0 possible definitions)

and this is the code

0 Kudos
6 Replies
Vicky1
Employee
515 Views

Hi,

May I know, what you want to do with this line dout<=dd(7 downto 1)&'0'; ?

any operation...

 

Regards,

Vikas

 

deddi
Beginner
515 Views

i want to do a shift register of watever value so i tried to put the vlue 0 as an exmple but seem that qaurteus dont recognize the concatenation or somthig else i really dont know ..

0 Kudos
RRomano001
New Contributor I
515 Views

Hi Vicky, Hi Deddi,

In first line 18 use dout as Single bit Std_Logic zeroing dout

line 20 use the aggregate so dout is used as Std_Logic_vector(7 downto 0)

 

in every case this cannot work, shift register destination must be itself, line 20 dd <= dd(7downto 1)&'0'; -- (Shift left filling zero)

shift register is free running.

about error, cannot assign right side vector of 8 Std_logic element to left side single std_logic. .

0 Kudos
Vicky1
Employee
515 Views

Hi,

For shift register, you can get easily HDL code online but for the user defined value shift register you need to use generic concept.

in this case, concatenation operation will not work since dout is single bit.

 

-Regards,

Vikas

0 Kudos
deddi
Beginner
515 Views

and how i can do the transformaion serial -parallel (am kinda new in VHDL and also in the internet dont give use much help lol )??

 

0 Kudos
Vicky1
Employee
515 Views

Hi,

Are you able to resolve the issue or still you need further support?

Thanks,

Vikas

0 Kudos
Reply