- Mark as New
- Bookmark
- Subscribe
- Mute
- Subscribe to RSS Feed
- Permalink
- Report Inappropriate Content
I used the following code for 4bit siso shift register.
Signal temp : std_logic_vector( 3downtown 0); begin process begin if(rising_edge(clk)) then temp(3 downtown 1)<=temp(2 downto 0); temp(0)<=si; end if; end process; so<=temp(3); end behavioural; I used the following testbench code. clk<= '0'; si<='1'; wait for 100ns; clk<= '1'; si<='1'; wait for 100ns; But after stimulation its shows that so is undefined i.e a red bar is shown.... Pls help......Link Copied
6 Replies
- Mark as New
- Bookmark
- Subscribe
- Mute
- Subscribe to RSS Feed
- Permalink
- Report Inappropriate Content
You only show a single clock in the testbench code. It will take 4 clocks for si to propogate to so.
- Mark as New
- Bookmark
- Subscribe
- Mute
- Subscribe to RSS Feed
- Permalink
- Report Inappropriate Content
clk<= '0';
si<='1'; wait for 100ns; clk<= '1'; si<='1'; wait for 100ns; clk<= '0'; si<='1'; wait for 100ns; clk<= '1'; si<='1'; wait for 100ns; clk<= '0'; si<='1'; wait for 100ns; clk<= '1'; si<='1'; wait for 100ns; clk<= '0'; si<='1'; wait for 100ns; clk<= '1'; si<='1'; wait for 100ns; I have used the above coding..... Even if I use 4 clocks its still shows the red bar- Mark as New
- Bookmark
- Subscribe
- Mute
- Subscribe to RSS Feed
- Permalink
- Report Inappropriate Content
Pls help.....
- Mark as New
- Bookmark
- Subscribe
- Mute
- Subscribe to RSS Feed
- Permalink
- Report Inappropriate Content
Please post all of your code, not just an extract.
- Mark as New
- Bookmark
- Subscribe
- Mute
- Subscribe to RSS Feed
- Permalink
- Report Inappropriate Content
did you try to initialize temp(3 downto 0) to an initial value? If not you need at least 4 clock edges to get "so" "green"
if "red" it would be that you never put anything on it...- Mark as New
- Bookmark
- Subscribe
- Mute
- Subscribe to RSS Feed
- Permalink
- Report Inappropriate Content
AND , you have to put "clock" in the process sensitivity list....

Reply
Topic Options
- Subscribe to RSS Feed
- Mark Topic as New
- Mark Topic as Read
- Float this Topic for Current User
- Bookmark
- Subscribe
- Printer Friendly Page