Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16842 Discussions

About Technology Map Viewer

Yamada1
Beginner
1,686 Views

It would be helpful if you could teach us the following points about Technology Map Viewer.

 

1) Is it correct to understand that the combinational circuit displayed when you right-click the selected LOGIC_CELL_COMB to display properties and select the "F" tab is the result of logic synthesis within LOGIC_CELL_COMB?

 

2) In the blocks displayed when you select the "xxx" tab (xxx depends on the selected LOGIC_CELL_COMB), the input ports seem to be inverted, but this is reversed in the combinational circuit when the "F" tab is selected. Is it correct to recognize that the signal that was sent is being input?

 

Sorry for the elementary question, but it would be helpful if you could teach me.

0 Kudos
1 Solution
RichardTanSY_Intel
1,667 Views

1) Yes, you are correct.

2) The two equations are opposite in terms of the input signals because the LOGIC_CELL_COMB module in the left figure (xxx tab) has a negation symbol on its input, and the "F" should only apply to the purple part of the circuit. In fact, these two expressions are equivalent, and the left figure should be used as a reference because its input is the actual input from external signals.

RichardTanSY_Intel_0-1683876090135.png

 

You may also checkout this similar post for further information:

https://electronics.stackexchange.com/questions/353755/synthesis-result-rtl-vs-technology-map-viewer

 

Best Regards,

Richard Tan

 

p/s: If you find any answers from the community or Intel Support to be helpful, we encourage you to mark them as the best answer or rate them 4/5 in the survey. 

 

 

View solution in original post

0 Kudos
4 Replies
RichardTanSY_Intel
1,668 Views

1) Yes, you are correct.

2) The two equations are opposite in terms of the input signals because the LOGIC_CELL_COMB module in the left figure (xxx tab) has a negation symbol on its input, and the "F" should only apply to the purple part of the circuit. In fact, these two expressions are equivalent, and the left figure should be used as a reference because its input is the actual input from external signals.

RichardTanSY_Intel_0-1683876090135.png

 

You may also checkout this similar post for further information:

https://electronics.stackexchange.com/questions/353755/synthesis-result-rtl-vs-technology-map-viewer

 

Best Regards,

Richard Tan

 

p/s: If you find any answers from the community or Intel Support to be helpful, we encourage you to mark them as the best answer or rate them 4/5 in the survey. 

 

 

0 Kudos
Yamada1
Beginner
1,591 Views

Thank you for answering.

 

It was very helpful to introduce the reference post.

0 Kudos
RichardTanSY_Intel
1,617 Views

Dropping a note to ask if my last reply was helpful to you?

Do you need any further assistance from my side? 


Best Regards,

Richard Tan


0 Kudos
RichardTanSY_Intel
1,586 Views

Thank you for acknowledging the solution provided. I'm glad to hear that your question has been addressed.

Now, I will transition this thread to community support. If you have any further questions or concerns, please don't hesitate to reach out.

Thank you and have a great day!


Best Regards,

Richard Tan



0 Kudos
Reply