Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)

CANT LOAD DESIGN

ASWATHYV_V_11
Beginner
496 Views

 

HAI,

CAN ANYBODY RESOLVE THIS ERROR 

# FATAL ERROR while loading design
# Error loading design
# Error: Error loading design
# Pausing macro execution
# MACRO ./INT_TOP_run_msim_rtl_vhdl.do PAUSED at line 22

AND THE INT_TOP_run_msim_rtl_vhdl.do  FILE IS COPIED HERE.

transcript on
if {[file exists rtl_work]} {
vdel -lib rtl_work -all
}
vlib rtl_work
vmap work rtl_work

vlog -vlog01compat -work work +incdir+C:/Users/user/Desktop/FPGA\ TESTING_INTEG\ NODE/JAN12\ FILES\ FROM\ KP/INT_TOP_13JAN2021/db {C:/Users/user/Desktop/FPGA TESTING_INTEG NODE/JAN12 FILES FROM KP/INT_TOP_13JAN2021/db/plll_altpll.v}
vcom -93 -work work {C:/Users/user/Desktop/FPGA TESTING_INTEG NODE/JAN12 FILES FROM KP/INT_TOP_13JAN2021/INT_TOP.vhd}
vcom -93 -work work {C:/Users/user/Desktop/FPGA TESTING_INTEG NODE/JAN12 FILES FROM KP/INT_TOP_13JAN2021/adc_interface_logic.vhd}
vcom -93 -work work {C:/Users/user/Desktop/FPGA TESTING_INTEG NODE/JAN12 FILES FROM KP/INT_TOP_13JAN2021/fifo.vhd}
vcom -93 -work work {C:/Users/user/Desktop/FPGA TESTING_INTEG NODE/JAN12 FILES FROM KP/INT_TOP_13JAN2021/digipot_config.vhd}
vcom -93 -work work {C:/Users/user/Desktop/FPGA TESTING_INTEG NODE/JAN12 FILES FROM KP/INT_TOP_13JAN2021/spi_slave.vhd}
vcom -93 -work work {C:/Users/user/Desktop/FPGA TESTING_INTEG NODE/JAN12 FILES FROM KP/INT_TOP_13JAN2021/plll.vhd}
vcom -93 -work work {C:/Users/user/Desktop/FPGA TESTING_INTEG NODE/JAN12 FILES FROM KP/INT_TOP_13JAN2021/psram_buffer.vhd}
vcom -93 -work work {C:/Users/user/Desktop/FPGA TESTING_INTEG NODE/JAN12 FILES FROM KP/INT_TOP_13JAN2021/psram.vhd}
vcom -93 -work work {C:/Users/user/Desktop/FPGA TESTING_INTEG NODE/JAN12 FILES FROM KP/INT_TOP_13JAN2021/dpram.vhd}
vcom -93 -work work {C:/Users/user/Desktop/FPGA TESTING_INTEG NODE/JAN12 FILES FROM KP/INT_TOP_13JAN2021/control_logic.vhd}

vcom -93 -work work {C:/Users/user/Desktop/FPGA TESTING_INTEG NODE/JAN12 FILES FROM KP/INT_TOP_13JAN2021/simulation/modelsim/INT_TOP_TB.vhd}

vsim -t 1ps -L altera -L lpm -L sgate -L altera_mf -L altera_lnsim -L fiftyfivenm -L rtl_work -L work -voptargs="+acc" INT_TOP_TB

add wave *
view structure
view signals
run -all

 

 

PLEASE HELP ME

0 Kudos
3 Replies
Kenny_Tan
Moderator
485 Views

May I know you are using Quartus Pro or Quartus std? If you use Quartus std, we do have a native link to help setting up it.


0 Kudos
Kenny_Tan
Moderator
468 Views

Any update?


0 Kudos
Kenny_Tan
Moderator
459 Views

We do not receive any response from you to the previous question. Please post a response in the next 15 days to allow me to continue to support you. After 15 days, this thread will be transitioned to community support. The community users will be able to help you with your follow-up questions. 


0 Kudos
Reply