Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16664 ディスカッション

Component Instance "XX" is not bound - Modelsim

Altera_Forum
名誉コントリビューター II
24,119件の閲覧回数

Hi 

I´m trying to simulate a design in modelsim altera starter edition 6.6d. 

All the files (vhd) compile but when I start the simulation a warning message is displayed: 

 

Warning: (vsim-3473) Component instance "adder1: altfp_add_sub" is not bound. 

 

I can run the simulation but the output of this adder is always "XXXX". 

It also happen with a FP divider but not with a FP multiplier, it has not problem. 

 

This is how I'm creating the instance of the altfp_add_sub component: 

 

LIBRARY altera_mf; 

USE altera_mf.altera_mf_components.all; 

 

 

adder1 : altfp_add_sub  

GENERIC MAP( 

 

[/INDENT]denormal_support => "YES", 

 

[/INDENT]intended_device_family => "Stratix III", 

 

[/INDENT]direction => "VARIABLE", 

 

[/INDENT]exception_handling => "YES", 

 

[/INDENT]optimize => "SPEED", 

 

[/INDENT]pipeline => 8, 

 

[/INDENT]reduced_functionality => "NO", 

 

[/INDENT]rounding => "TO_NEAREST", 

 

[/INDENT]speed_optimized => "STRATIX_ONLY", 

 

[/INDENT]width_exp => 8, 

 

[/INDENT]width_man => 23, 

 

[/INDENT]lpm_hint => "UNUSED", 

 

[/INDENT]lpm_type => "altfp_add_sub")  

 

 

PORT MAP( 

 

[/INDENT]aclr => clr, 

 

[/INDENT]add_sub => add_sub_reg, 

 

[/INDENT]clk_en => en, 

 

[/INDENT]clock => clock, 

 

[/INDENT]dataa => output_mux1_reg, 

 

[/INDENT]datab => output_mux2_reg, 

 

[/INDENT]result => output_adder); 

 

 

 

Please, can someone tell me what is wrong? 

Thanks
0 件の賞賛
2 返答(返信)
Altera_Forum
名誉コントリビューター II
18,502件の閲覧回数

 

--- Quote Start ---  

Hi 

... 

Warning: (vsim-3473) Component instance "adder1: altfp_add_sub" is not bound. 

... 

Thanks 

--- Quote End ---  

 

 

This commonly happens if your instance interface doesn't match to your component interface declaration. 

Check if the port and generic interface of your component and the respective instance are equal.
Altera_Forum
名誉コントリビューター II
18,502件の閲覧回数

I have reviewed the mf_altera package/library from my version of modelsim and it seems that the components altfp_add_sub and altfp_div are not included, only the altfp_mult is in this package. 

 

I have worked previously with these components but creating them from Quartus using the Megafunction Wizard which creates the files needed for Modelsim to simulate the components. 

Now I see that apparently some components can not be instantiated using the component declaration directly.
返信