Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16593 Discussions

Cyclone V – PCIe MultiFunction with Qsys Avalon-MM problem

Altera_Forum
Honored Contributor II
950 Views

Hi all, 

I'm trying to simulate this example design by following the steo-ps of the example. 

 

  1. Change to this directory and edit questa_setup_sim.tcl or msim_setup_sim.tcl as appropriate. You may need to change the default location of the Altera Quartus installation. This is specified on L35 (43 for msim), e.g. “set QUARTUS_INSTALL_DIR "C:/altera/quartus" 

  2. Type “vsim -do questa_setup_sim.tcl” or “vsim –do msim_setup_sim.tcl” 

  3. After this completes type “ld_debug” 

  4. Once that completes type “do wave.do” 

  5. Finally to run the simulation “run -all" 

 

There 5 steps that to complete the simulation completely. 

there is any information that where we write this commands so I have tried to write this command on the tcl comsol. On the other hand, I have got some errors. Then I wrote them on the command window and multisim started to work at second step. I have tried to wrote ld_debug command on the command window but it doent allow me to wite anything. when ı write it on the multisim, it gives me errors. 

 

ld_debug# [exec] dev_com# Model Technology ModelSim ALTERA vlog 10.3d Compiler 2014.10 Oct 7 2014# Start time: 16:48:46 on Oct 25,2016# vlog -reportprogress 300 /tools/acds/14.1/186/linux64/quartus//eda/sim_lib/altera_primitives.v -work altera_ver # ** Error: (vlog-7) Failed to open design unit file "/tools/acds/14.1/186/linux64/quartus//eda/sim_lib/altera_primitives.v" in read mode.# # No such file or directory. (errno = ENOENT)# End time: 16:48:46 on Oct 25,2016, Elapsed time: 0:00:00# Errors: 1, Warnings: 0# C:/altera/15.0/modelsim_ase/win32aloem/vlog failed. 

 

This is the error. 

How can I solve this problem. 

Thank you very much in advence
0 Kudos
0 Replies
Reply