Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16556 Discussions

Does Quartus 18.0 support the VHDL 2008 'ELEMENT attribute?

JDe_S4
Beginner
4,774 Views

I am using the VHDL 'ELEMENT attribute to determine the range of an element in an array.

ReadRegisterData: process (REG_CLK) is begin if (rising_edge(REG_CLK)) then i_RegReadData <= (others => '0'); if (REG_RDEN = '1') then case? i_RegSelect is when "1---" => i_RegReadData(0) <= i_InterfaceSelect; when "0100" => i_RegReadData(i_DAC_CodeWordMaskReg'ELEMENT'RANGE) <= i_DAC_CodeWordMaskReg(0); when "0101" => i_RegReadData(i_DAC_CodeWordMaskReg'ELEMENT'RANGE) <= i_DAC_CodeWordMaskReg(1); when "0110" => i_RegReadData(i_DAC_CodeWordMaskReg'ELEMENT'RANGE) <= i_DAC_CodeWordMaskReg(2); when "0111" => i_RegReadData(i_DAC_CodeWordMaskReg'ELEMENT'RANGE) <= i_DAC_CodeWordMaskReg(3); when others => null; end case?; end if; end if; end process ReadRegisterData;

Quartus reports the following error:

Error (10482): VHDL error at <file>.vhd(176): object "ELEMENT" is used but not declared

followed by the error message:

Error (10406): VHDL attribute error at <file>.vhd(176): object with attribute "range" must have scalar or array type

Does this mean that Quartus Prime Version 18.0.0 Standard Edition doesn't support this VHDL 2008 feature?

0 Kudos
12 Replies
Vicky1
Employee
1,701 Views

Hi,

Quartus Prime Version 18.0.0 Standard Edition doesn't support this VHDL 2008 feature.

please refer the Table available at page no.7 from below link,

https://www.intel.com/content/dam/www/programmable/us/en/pdfs/literature/br/br-quartus-prime-software.pdf

 

Let me know if this has helped resolve the issue you are facing or if you need any further assistance.

 

Best Regards

Vikas Jathar 

(This message was posted on behalf of Intel Corporation)

 

0 Kudos
MuhammadAr_U_Intel
1,701 Views

You may consider using Quartus Prime Pro if your target device is Cyclone 10 GX(Quartus Prime Pro without License ) or Stratix10/ Arria10 (Need to purchase License.)

 

https://www.intel.com/content/www/us/en/software/programmable/quartus-prime/download.html

 

Thanks,

Arslan

 

0 Kudos
Tricky
New Contributor II
1,701 Views

There is an easy workaround for this (that works in '93):

 

-- If you know the array always contains index 0 (or some other fixed number): i_RegReadData(i_DAC_CodeWordMaskReg(0)'RANGE)   -- You dont know the indeces i_RegReadData(i_DAC_CodeWordMaskReg(i_DAC_CodeWordMaskReg'low)'RANGE)

 

JDe_S4
Beginner
1,701 Views

Thanks for the reply @Vicky​ . I am a bit puzzled though. According to the list on page 7 of the document you mention only the Pro Edition supports synthesis for VHDL-2008. In the standard edition I am using it is however possible to select VHDL-2008 in the "VHDL Input" section of the "Compiler Settings" in the settings window. I also think it a bit strange that VHDL-2008 is only supported for designs with the series 10 devices. Since our design is based on an Arria V this would convict me to not using VHDL-2008 😒 .

Luckily @Tricky​ pointed my to the document https://www.intel.com/content/www/us/en/programmable/quartushelp/current/index.htm#hdl/vhdl/vhdl_list_2008_vhdl_support.htm

which is the document that is opened when I select "Help topics" in the help of my Quartus Prime Standard Edition. The document however is about the Pro Edition. In the paragraph about HL Language support there is a section about VHDL-2008 support

https://www.intel.com/content/www/us/en/programmable/quartushelp/current/index.htm#hdl/vhdl/vhdl_list_2008_vhdl_support.htm

unfortunately is states there that section 16 "Predefined language environment" which holds the predefined attributes of types and objects isn't supported 😥 .

 

Question still is whether this paragraph is also valid for the Standard Edition? Why is it possible to select VHDL-2008 in the Standard Edition I use while the list on page 7 indicates that VHDL-2008 is only supported on the Pro Edition? Why do I get the Pro help when I select "Help topics" in the Standard Edition? It would be nice to have some clarification on this so I won't run into more unexpected limitations.

 

Thanks,

Jacob de Sterke

 

 

0 Kudos
Tricky
New Contributor II
1,701 Views

Quartus has supported some basic VHDL 2008 since Q10 or 11 (I cant rememember, but before it was separated into Prime and Pro) but support has been limited to those listed on the page you linked to since then. So you can select 2008 because of this history. The page also clearly states the list is for Quartus Prime, and not Prime Pro.

 

With the intel transition everything has become rather confused. It is very difficult to find documentation about the standard Prime software, as everything appears to go via the Pro version. This is likely because all of the Prime software and supported devices were not part of the Intel/Altera merger, and intel are focusing on their new products, while neglecting the past.

0 Kudos
MuhammadAr_U_Intel
1,701 Views

Hi Jacob,

 

Let me answer few of your questions.

  1. I have Quartus Prime Standard version 18.0 installed on my PC. When I click Help> Help topics it opens help locally from installation directory. "<Quartus install directory/quartus/common/help/webhelp/index.htm#quartus/gl_quartus_welcome.htm>" Can you confirm what version you are using. go to Help> About Quartus Prime.
  2. VHDL-2008 is not supported in Quartus Standard Edition, it is recommended to use Quartus Prime Pro for design using VHDL 2008.. Quartus feature comparison page referred by @Vicky​  is the latest and most accurate. https://www.intel.com/content/dam/www/programmable/us/en/pdfs/literature/br/br-quartus-prime-software.pdf

Hope this clarifies.

 

--

Arslan

0 Kudos
Tricky
New Contributor II
1,701 Views

@MUsman​ 

Please check your facts.

Quartus Prime Standard DOES support VHDL 2008, but only a limited subset (as listed in the above link). It has done for many years (Q10 or 11).

0 Kudos
MuhammadAr_U_Intel
1,701 Views

@Tricky​  I am sorry for the inconvenience, you can navigate to Quartus Prime Standard Documentation at the link below. Type "quartus prime standard" in search box you should be able to get Quartus Prime Handbooks.

 

https://www.intel.com/content/www/us/en/programmable/products/design-software/fpga-design/quartus-prime/support.html

 

hope this helps.

 

 

0 Kudos
Tricky
New Contributor II
1,701 Views

@MUsman As per the quartus prime handbook:

 

VHDL-2008 Support

The Intel® Quartus® Prime software contains support for VHDL 2008 with constructs defined in the IEEE Standard 1076-2008 version of the IEEE Standard VHDL Language Reference Manual.

Related Information

Intel® Quartus® Prime Support for VHDL 2008

 

(the related information links to the Prime Pro Handbook, but lists the supported features for Prime standard, which is where @JDe S4​ is getting confused)

0 Kudos
JDe_S4
Beginner
1,701 Views

Hi @Tricky​ ,

Thanks for the information and the suggested workaround. I should have thought of it myself (embarrassed). I implemented the workaround and everything compiles without errors. Like the VHDL-2008 way though.

 

@MUsman​ 

Thanks for pointing out where and how to find the Quartus Prime Standard handbooks. I downloaded the pdf's right away. If I read paragraph 16.2.2.1 VHDL-2008 Support of Volume 1 Design and Synthesis correctly The Intel Quartus Prime software contains support for VHDL 2008 (as @Tricky​ pointed out). So the question stays: should I trust the handbook or the table on page 7 of the document @Vicky​  referred to?

 

Thanks

0 Kudos
MuhammadAr_U_Intel
1,701 Views

Hi,

 

Okie I have checked, this seems to be a discrepancy in document "https://www.intel.com/content/dam/www/programmable/us/en/pdfs/literature/br/br-quartus-prime-software.pdf?language=en_US" I will share this to our internal team.

 

Quartus Standard has a very limited support for VHDL2008, and hence it is recommended to switch to Quartus Pro for enhanced VHDL2008 support.

 

Thanks,

Arslan

0 Kudos
corestar
New Contributor I
1,701 Views

@MUsman, I can confirm that @Tricky is correct because I'm actually using VHDL 2008 in Quartus Prime Standard 18.0.

 

Your recommendation to switch to Quartus Pro has a problem; Quartus Pro only supports a limited number of high end chips (Stratix 10, Arria 10, Cyclone 10 GX)! We're using Cyclone V and are forced to use Quartus Standard.

 

I found a note in the Quartus Primt Std User Guide that seems inconsistent with this not to mention the body of the guide itself. Hopefully, this is not yet another indication that Intel intends to abandon lower end markets.

 

VHDL2008_support.jpg

 

 

 

0 Kudos
Reply