Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16606 Discussions

Error (12006): Node instance "comb_3" instantiates undefined entity "Altera_UART_16550". Ensure that

Ravin
Beginner
715 Views

Hi

Can someone help me with the error am facing when i try to add UART IP to my project.

Regards

Ravin

0 Kudos
2 Replies
Fakhrul
Employee
632 Views

Hi,

 

As we do not receive any response from you to the previous answer that we provided.

This thread will be transitioned to community support.

If you have a new question, feel free to open a new thread to get support from Intel experts.


0 Kudos
Reply