Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16556 Discussions

Error: Failed to initialize the OpenCL/AAL system

Altera_Forum
Honored Contributor II
1,657 Views

When I use the command "aocl reprogram acl0 xx.aocx" to reprogram FPGA, it shows: 

 

aocl program: Running program from /root/altera_pro/16.0/hld/board/bdw_fpga_pilot_opencl_bsp_v1.0/linux64/libexec 

Error: Failed to initialize the OpenCL/AAL system. 

Error: Ensure a correct OpenCL image is programmed on the FPGA, and that the CCI driver has been loaded. 

AAL ASSERT( ccip_mmd.cppAAL ASSERT( m_pImplementation->IsOK() ) FAILED IsOK()::m_pImplementation->IsOK() ) FAILED IsOK():Runtime.cpp:Runtime.cppao cl program: Program failed. 

 

But a few days ago, I used the command reprogram FPGA, it worked well.
0 Kudos
6 Replies
Altera_Forum
Honored Contributor II
530 Views

Did you update your OS kernel since then? If you update the kernel, you sometimes have to reinstall the driver. Try "aocl install", then reboot, then try "aocl diagnose acl0"; if it succeeded, retry your reprogram command.

0 Kudos
Altera_Forum
Honored Contributor II
530 Views

 

--- Quote Start ---  

Did you update your OS kernel since then? If you update the kernel, you sometimes have to reinstall the driver. Try "aocl install", then reboot, then try "aocl diagnose acl0"; if it succeeded, retry your reprogram command. 

--- Quote End ---  

 

 

I did not update anything!What is OS kernel?
0 Kudos
Altera_Forum
Honored Contributor II
530 Views

 

--- Quote Start ---  

Did you update your OS kernel since then? If you update the kernel, you sometimes have to reinstall the driver. Try "aocl install", then reboot, then try "aocl diagnose acl0"; if it succeeded, retry your reprogram command. 

--- Quote End ---  

 

 

When i input command 'aocl diagnose acl0'', it shows: 

 

aocl diagnose: Running diagnose from /root/altera_pro/16.0/hld/board/bdw_fpga_pilot_opencl_bsp_v1.0/linux64/libexec 

/root/altera_pro/16.0/hld/board/bdw_fpga_pilot_opencl_bsp_v1.0/linux64/libexec/diagnose: /root/altera_pro/16.0/quartus/dspba/backend/linux64/libstdc++.so.6: version `GLIBCXX_3.4.15' not found (required by /usr/local/lib/libOSAL.so.0) 

/root/altera_pro/16.0/hld/board/bdw_fpga_pilot_opencl_bsp_v1.0/linux64/libexec/diagnose: /root/altera_pro/16.0/quartus/dspba/backend/linux64/libstdc++.so.6: version `GLIBCXX_3.4.15' not found (required by /usr/local/lib/libAAS.so.0) 

/root/altera_pro/16.0/hld/board/bdw_fpga_pilot_opencl_bsp_v1.0/linux64/libexec/diagnose: /root/altera_pro/16.0/quartus/dspba/backend/linux64/libstdc++.so.6: version `GLIBCXX_3.4.15' not found (required by /usr/local/lib/libOSAL.so.0) 

/root/altera_pro/16.0/hld/board/bdw_fpga_pilot_opencl_bsp_v1.0/linux64/libexec/diagnose: /root/altera_pro/16.0/quartus/dspba/backend/linux64/libstdc++.so.6: version `GLIBCXX_3.4.15' not found (required by /usr/local/lib/libAAS.so.0) 

aocl diagnose: failed. 

 

Does reinstall aocl can solve this problem?
0 Kudos
Altera_Forum
Honored Contributor II
530 Views

 

--- Quote Start ---  

When i input command 'aocl diagnose acl0'', it shows: 

 

aocl diagnose: Running diagnose from /root/altera_pro/16.0/hld/board/bdw_fpga_pilot_opencl_bsp_v1.0/linux64/libexec 

/root/altera_pro/16.0/hld/board/bdw_fpga_pilot_opencl_bsp_v1.0/linux64/libexec/diagnose: /root/altera_pro/16.0/quartus/dspba/backend/linux64/libstdc++.so.6: version `GLIBCXX_3.4.15' not found (required by /usr/local/lib/libOSAL.so.0) 

/root/altera_pro/16.0/hld/board/bdw_fpga_pilot_opencl_bsp_v1.0/linux64/libexec/diagnose: /root/altera_pro/16.0/quartus/dspba/backend/linux64/libstdc++.so.6: version `GLIBCXX_3.4.15' not found (required by /usr/local/lib/libAAS.so.0) 

/root/altera_pro/16.0/hld/board/bdw_fpga_pilot_opencl_bsp_v1.0/linux64/libexec/diagnose: /root/altera_pro/16.0/quartus/dspba/backend/linux64/libstdc++.so.6: version `GLIBCXX_3.4.15' not found (required by /usr/local/lib/libOSAL.so.0) 

/root/altera_pro/16.0/hld/board/bdw_fpga_pilot_opencl_bsp_v1.0/linux64/libexec/diagnose: /root/altera_pro/16.0/quartus/dspba/backend/linux64/libstdc++.so.6: version `GLIBCXX_3.4.15' not found (required by /usr/local/lib/libAAS.so.0) 

aocl diagnose: failed. 

 

Does reinstall aocl can solve this problem? 

--- Quote End ---  

 

 

After i re ssh the FPGA server and run the command, it shows: 

 

aocl diagnose: Running diagnose from /root/altera_pro/16.0/hld/board/bdw_fpga_pilot_opencl_bsp_v1.0/linux64/libexec 

Error: Failed to initialize the OpenCL/AAL system. 

Error: Ensure a correct OpenCL image is programmed on the FPGA, and that the CCI driver has been loaded. 

AAL ASSERT( AAL ASSERT( m_pImplementation->IsOK() ) FAILED IsOK():Runtime.cpp:m_pImplementation->IsOK() ) FAILED aocl diagnose: failed 1 times. First error below: 

Using board package from vendor: Intel Corp 

Querying information for all supported devices that are installed on the host machine ... 

 

Do you know why?
0 Kudos
Altera_Forum
Honored Contributor II
530 Views

It seems you haven't set up your board properly. Go and (re)read your board's "getting started" guide and follow all the steps exactly as outlined in the document. There is no point in attempting to program or work with the FPGA unless "aocl diagnose acl0" passes first.

0 Kudos
Altera_Forum
Honored Contributor II
530 Views

I have solved the problem by run " ./insdrv cci" to start the aalkernel cci driver.Thank you!

0 Kudos
Reply