Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16656 Discussions

Error Loading Design in Modelsim

Altera_Forum
Honored Contributor II
1,682 Views

I obtain the above error when trying simulate a design. 

# Loading work.Valid_Signal# Error loading design# Error: Error loading design # Pausing macro execution # MACRO ./DigitalAudioOutput_run_msim_rtl_verilog.do PAUSED at line 20 

 

line 20: vsim -t 1ps -L altera_ver -L lpm_ver -L sgate_ver -L altera_mf_ver -L cycloneiii_ver -L rtl_work -L work -voptargs="+acc" DigitalAudioOutput_tb_08Jun2010 

 

No indicator what is the error abt. Any idea?
0 Kudos
0 Replies
Reply