Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16595 Discussions

Error in library module cyclonev_atoms_ncrypt

Altera_Forum
Honored Contributor II
2,909 Views

I am attempting to run a simulation with design components from QSYS. 

 

I am running the msim_setup.tcl script provided by QSYS and am getting the following error message... 

 

# vsim -t ps -L work -L work_lib -L error_adapter_0 -L altera_epcq_controller_core -L altera_asmi_parallel -L rsp_mux -L cmd_mux -L cmd_demux -L router_001 -L router -L avalon_st_adapter -L crosser -L rsp_mux_002 -L rsp_mux_001 -L rsp_demux_011 -L rsp_demux_009 -L rsp_demux_005 -L rsp_demux_004 -L rsp_demux_002 -L rsp_demux -L cmd_mux_002 -L cmd_demux_002 -L cmd_demux_001 -L epcq_controller_0_avl_mem_burst_adapter -L nios2_qsys_data_master_limiter -L router_014 -L router_007 -L router_005 -L router_003 -L router_002 -L avalon_internal_bram_interface_avalon_slave_agent -L nios2_qsys_data_master_agent -L avalon_internal_bram_interface_avalon_slave_translator -L nios2_qsys_data_master_translator -L remote_update_controller -L remote_update_core -L cpu -L dll0 -L oct0 -L c0 -L s0 -L p0 -L pll0 -L p2b_adapter -L b2p_adapter -L transacto -L p2b -L b2p -L fifo -L timing_adt -L jtag_phy_embedded_in_jtag_master -L rst_controller -L irq_synchronizer -L irq_mapper -L mm_interconnect_1 -L mm_interconnect_0 -L sysid_qsys -L sysclk_timer -L remote_update_0 -L onchip_mem -L nios2_qsys -L mem_if_lpddr2_emif -L mddr_to_mm_bridge_0 -L jtag_to_avalon_master -L hw_git_hash -L ext_int -L epcq_controller_0 -L avalon_internal_bram_interface -L avalon_external_interface_0 -L altera_ver -L lpm_ver -L sgate_ver -L altera_mf_ver -L altera_lnsim_ver -L cyclonev_ver -L cyclonev_hssi_ver -L cyclonev_pcie_hip_ver C5G_QSYS  

# Start time: 15:55:58 on Jun 21,2018 

# ** Note: (vsim-3812) Design is being optimized... 

# ** Error: C:/bin/altera/17.0.1/quartus/eda/sim_lib/mentor/cyclonev_atoms_ncrypt.v(38): in protected region 

 

Anyone have an idea on what is causing this? 

 

Thanks! 

Mike
0 Kudos
6 Replies
Altera_Forum
Honored Contributor II
1,344 Views

As it is happening after the note saying that optimization has started, have you tried running it with optimization turned off?

0 Kudos
Altera_Forum
Honored Contributor II
1,344 Views

Here is the result from running with -novopt.... 

 

# vsim -novopt -t ps -L work -L work_lib -L error_adapter_0 -L altera_epcq_controller_core -L altera_asmi_parallel -L rsp_mux -L cmd_mux -L cmd_demux -L router_001 -L router -L avalon_st_adapter -L crosser -L rsp_mux_002 -L rsp_mux_001 -L rsp_demux_011 -L rsp_demux_009 -L rsp_demux_005 -L rsp_demux_004 -L rsp_demux_002 -L rsp_demux -L cmd_mux_002 -L cmd_demux_002 -L cmd_demux_001 -L epcq_controller_0_avl_mem_burst_adapter -L nios2_qsys_data_master_limiter -L router_014 -L router_007 -L router_005 -L router_003 -L router_002 -L avalon_internal_bram_interface_avalon_slave_agent -L nios2_qsys_data_master_agent -L avalon_internal_bram_interface_avalon_slave_translator -L nios2_qsys_data_master_translator -L remote_update_controller -L remote_update_core -L cpu -L dll0 -L oct0 -L c0 -L s0 -L p0 -L pll0 -L p2b_adapter -L b2p_adapter -L transacto -L p2b -L b2p -L fifo -L timing_adt -L jtag_phy_embedded_in_jtag_master -L rst_controller -L irq_synchronizer -L irq_mapper -L mm_interconnect_1 -L mm_interconnect_0 -L sysid_qsys -L sysclk_timer -L remote_update_0 -L onchip_mem -L nios2_qsys -L mem_if_lpddr2_emif -L mddr_to_mm_bridge_0 -L jtag_to_avalon_master -L hw_git_hash -L ext_int -L epcq_controller_0 -L avalon_internal_bram_interface -L avalon_external_interface_0 -L altera_ver -L lpm_ver -L sgate_ver -L altera_mf_ver -L altera_lnsim_ver -L cyclonev_ver -L cyclonev_hssi_ver -L cyclonev_pcie_hip_ver C5G_QSYS  

# Start time: 15:55:58 on Jun 21,2018 

# ** Error (suppressible): (vsim-12110) All optimizations are disabled because the -novopt option is in effect. This will cause your simulation to run very slowly. If you are using this switch to preserve visibility for Debug or PLI features, please see the User's Manual section on Preserving Object Visibility with vopt. -novopt option is now deprecated and will be removed in future releases. 

# Error loading design 

# Error: Error loading design 

# Pausing macro execution 

 

Note that I am simply attempting to run the msim_setup.tcl generated by QSYS.
0 Kudos
Altera_Forum
Honored Contributor II
1,344 Views

That's an error with turning off vopt now. Try running it again with -suppress 12110 to suppress that error.

0 Kudos
Altera_Forum
Honored Contributor II
1,344 Views

After using the suppress option, the simulation gets to this and fails.... 

 

# Loading altera_mf_ver.altera_std_synchronizer_bundle 

# ** Error: (vsim-3033) Instantiation of '<protected><protected><protected><protected><protected><protected>' failed. The design unit was not found. 

# Time: 0 ps Iteration: 0 Protected: /C5G_QSYS/epcq_controller_0/asmi_parallel_inst/altera_asmi_parallel/sd4/inst/<protected> File: C:/bin/altera/17.0.1/quartus/eda/sim_lib/mentor/cyclonev_atoms_ncrypt.v Line: UNKNOWN
0 Kudos
Altera_Forum
Honored Contributor II
1,344 Views

Wow... That is not a helpful error message...

0 Kudos
Altera_Forum
Honored Contributor II
1,344 Views

 

--- Quote Start ---  

That's an error with turning off vopt now. Try running it again with -suppress 12110 to suppress that error. 

--- Quote End ---  

 

 

Thanks i had# ** Error (suppressible): (vsim-12110) message and the -suppress 12110 seemed to solve it
0 Kudos
Reply