Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16606 Discussions

Error:invalid command name "quartus_sh"

Altera_Forum
Honored Contributor II
3,162 Views

Hi, 

I want to run some_script.tcl before compilation. To do it I set "set_global_assignment -name PRE_FLOW_SCRIPT_FILE quartus_sh:some_script.tcl" in tcl console. 

But there is no effect from setting the assignment. Now I see that quartus_sh does not work at all:  

quartus_sh 

Error:invalid command name "quartus_sh" 

Error: while executing 

Error:"unknown_original quartus_sh" 

Error: ("eval" body line 1) 

Error: invoked from within 

Error:"eval unknown_original $cmd $args" 

Error: (procedure "::unknown" line 7) 

Error: invoked from within 

Error:"quartus_sh" 

 

But there is no problems with running quartus_sh from command line. The path to the quartus_sh is added to PATH variable. 

In internet the question is very popular, but as I see it was not solved. 

What should I do to walk this problem around?
0 Kudos
1 Reply
Altera_Forum
Honored Contributor II
1,933 Views

Where are you seeing that invalid command name error? When hitting compile and the pre_flow_script is run, or are you typing it into Quartus Tcl. It is not part of the Quartus Tcl console.  

When you say there is no effect, what do you mean? I assume some_script.tcl exists in the project directory. When you compile, I believe there should be messages that it is running a script. Maybe add as the first line of your script something like: 

post_message "some_script.tcl is running" 

I'm attaching an example one I have lying around, although it's over ten years old, but I believe it should work.
0 Kudos
Reply