Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16603 Discussions

Free (starter) version of ModelSim-Altera can't be lauched

Altera_Forum
Honored Contributor II
10,795 Views

Dear Forum members, 

 

I have encountered a problem with Quartus Prime and ModelSim-Altera. The tool properly analyzes and elaborates my model but refuses to perform an RTL simulation with the following error message: 

 

Error: Error: Can't launch ModelSim-Altera Simulation software -- make sure the software is properly installed and the environment variable LM_LICENSE_FILE or MGLS_LICENSE_FILE points to the correct license file. 

Error: Error: NativeLink simulation flow was NOT successful 

 

The NativeLink log file is not very informative: 

 

Info: Start Nativelink Simulation process 

Info: NativeLink has detected VHDL design -- VHDL simulation models will be used 

 

========= EDA Simulation Settings ===================== 

 

Sim Mode : RTL 

Family : cyclonev 

Quartus root : /home/*username*/altera_lite/16.0/quartus/linux64/ 

Quartus sim root : /home/*username*/altera_lite/16.0/quartus/eda/sim_lib 

Simulation Tool : modelsim-altera 

Simulation Language : vhdl 

Version : 93 

Simulation Mode : GUI 

Sim Output File :  

Sim SDF file :  

Sim dir : simulation/modelsim 

 

======================================================= 

 

Info: Starting NativeLink simulation with ModelSim-Altera software 

Sourced NativeLink script /home/*username*/altera_lite/16.0/quartus/common/tcl/internal/nativelink/modelsim.tcl 

Error: Can't launch ModelSim-Altera Simulation software -- make sure the software is properly installed and the environment variable LM_LICENSE_FILE or MGLS_LICENSE_FILE points to the correct license file. 

Error: NativeLink simulation flow was NOT successful 

 

 

 

================The following additional information is provided to help identify the cause of error while running nativelink scripts================= 

Nativelink TCL script failed with errorCode: issued_nl_message 

Nativelink TCL script failed with errorInfo: Can't launch ModelSim-Altera Simulation software -- make sure the software is properly installed and the environment variable LM_LICENSE_FILE or MGLS_LICENSE_FILE points to the correct license file. 

while executing 

"error "$emsg" "" "issued_nl_message"" 

invoked from within 

"if [ catch {exec $vsim_cmd -version} version_str] { 

set emsg "Can't launch $tool Simulation software -- make sure the software is properly installed..." 

(procedure "launch_sim" line 88) 

invoked from within 

"launch_sim launch_args_hash" 

("eval" body line 1) 

invoked from within 

"eval launch_sim launch_args_hash" 

invoked from within 

"if [ info exists ::errorCode ] { 

set savedCode $::errorCode 

set savedInfo $::errorInfo 

error $result $..." 

invoked from within 

"if [catch {eval launch_sim launch_args_hash} result ] { 

set status 1 

if [ info exists ::errorCode ] { 

set save..." 

(procedure "run_sim" line 74) 

invoked from within 

"run_sim run_sim_args_hash" 

invoked from within 

"if [ info exists ::errorCode ] { 

set savedCode $::errorCode 

set savedInfo $::errorInfo 

error "$result" $savedInfo ..." 

(procedure "run_eda_simulation_tool" line 334) 

invoked from within 

"run_eda_simulation_tool eda_opts_hash" 

 

I have found the same or similar problems on the Altera forum and at various other locations of the web for various versions of Quartus II. However, those users either didn't receive proper answers or the answers didn't work for me (appending an "/" character at the end of the path in Tools/Options/EDA Tool Options, for example). 

 

I'm using Quartus Prime Version 16.0.0 Build 211 04/27/2016 SJ Lite Edition on Linux Mint LDME, without any license (the free version). 

 

What could I do to make it work? 

 

Thank you for your help in advance, 

 

bela
0 Kudos
9 Replies
Altera_Forum
Honored Contributor II
7,733 Views

In your EDA settings, make sure you have Modelsim-Altera selected rather than Modelsim. Also make sure that the path to your project is short "like C:\Altera-proj\MyProject" and that there are no spaces, non ASCII, or special characters anywhere in any directory or file name.

0 Kudos
Altera_Forum
Honored Contributor II
7,733 Views

I've got a similar problem, but (luckily) a solution as well. Model analysis and elaboration are OK, as well as waveform editing, which produces a .wvf file. However, if then I try any of the three commands under the Simulation menu, e.g. Run Functional Simulation, then at first I get the error notice:  

 

Determining the location of the ModelSim executable... 

ModelSim executable not found. 

To specify a ModelSim executable directory, select: Tools -> Options -> EDA Tool Options 

Note: if both ModelSim-Altera and ModelSim executables are available, ModelSim-Altera will be used 

 

 

In spite of the quoted note, in the EDA Tool Options table I must then specify the ModelSim executable directory as requested, by filling its entry with a copy of the ModelSim-Altera executable directory entry, that is 

 

/opt/altera_lite/16.0/modelsim_ase/linuxaloem 

 

in my installation.  

 

The boring side of this solution, is that I don't see how to make this setting persistent, that is to say, the fix is to be done at each new run of Quartus Prime Lite (16.0).
0 Kudos
Altera_Forum
Honored Contributor II
7,733 Views

In windows that setting is saved with the project, so it would need to be made with each project rather than each time you start Quartus. I don't know if that is true of Linux.

0 Kudos
Altera_Forum
Honored Contributor II
7,733 Views

It is not, at least in my Ubuntu 16.04 installation of Quartus Prime Lite. Yet, regardless of the OS environment, I find it somewhat strange that, on the one hand, ModelSim-ASE is the only model simulator installed in the free edition, and indeed this is the one selected in the EDA settings, while, on the other hand, running the simulation requires a directory path for the ModelSim rather than ModelSim-ASE executable. I think this is a sort of inconsistency that ought to be fixed in some future release of Quartus.

0 Kudos
SRieck
Beginner
7,733 Views

I fixed this bug by running the following. It seems like there are missing 32-bit libaries. (Lubuntu 16.04)

 

sudo apt install lib32ncurses5 lib32z1

JMorr23
Beginner
7,733 Views

i'm having trouble with Modelsim starter 10.5b on Ubuntu 18.04

i'm following many suggestions from here:

https://askubuntu.com/questions/602725/trouble-running-modelsim-on-ubuntu

 

0 Kudos
JFrye7
Beginner
7,733 Views

It looks like I am having the same issue on Ubuntu 18.04 LTS. I try to point the ModelSim-Altera path to <install-dir>/modelsim_ase/bin, then it is tilling me I need to set LM_LICENSE_FILE. Okay, where is the license file in the install? Isn't this supposed to be free?

https://www.intel.com/content/www/us/en/programmable/support/support-resources/download/licensing/lic-q2se_licenses.html

 

The free Quartus Prime Lite Edition, legacy Quartus II Web Edition (v8.1 and later), and ModelSim-Altera Starter Edition software do not require a license.

 

Tried the regular ModelSim path suggestion above, pointing it to <install-dir>/modelsim_ase/linuxaloem/bin but I get the same error.

Am I missing something here?

0 Kudos
Sharafat
Beginner
3,086 Views

I am Installing QuartusLiteSetup-20.1.0.711-linux in Ubuntu 20.04.6 LTS. After Installing I faced the same message Can't launch ModelSim-Altera Simulation software -- make sure .

 

Luckily this is solved by installing the following package in my lenovo intel machine.

 

sudo apt-get install libxft2:i386

 

 

This is a permanent solution for me.

0 Kudos
sohamc1909
Beginner
1,913 Views

Hi, I cannot find the package libxft2:i386 on my Ubuntu 23 machine. I am running on an Intel i5. What could a possible fix for this?


Reading package lists... Done
Building dependency tree... Done
Reading state information... Done
E: Unable to locate package libxft2:i386

0 Kudos
Reply