Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)

Generate a .sof file

Altera_Forum
Honored Contributor II
1,481 Views

Hello, 

 

The compilation can't generate a .sof file anymore... 

I have QuartusII v11.1 which is registered. 

How can I fix this problem?
0 Kudos
5 Replies
Altera_Forum
Honored Contributor II
430 Views

What warning is it throwing? even an info will do related with that.

0 Kudos
Altera_Forum
Honored Contributor II
430 Views

Look at the assembler report. If it isn't generating a .sof file, it should say why.

0 Kudos
Altera_Forum
Honored Contributor II
430 Views

I found the problem: the device I choose is an advanced one (this is in the name of the device). That's why the compilation didn't generate a .sof file. 

Thanks for your help and to have given your time!!
0 Kudos
Altera_Forum
Honored Contributor II
430 Views

Hi guys,  

I've bought a TSE license, but still no generation for sof file. It generate a limited edition like the one without license. What are the things that I have to Check?  

The device name and the license are correct, is there any possible problem?  

regards, 

https://www.alteraforum.com/forum/attachment.php?attachmentid=7119
0 Kudos
Altera_Forum
Honored Contributor II
430 Views

In the Assembler report, go to the "Encrypted IP Cores Summary" and see if anything is unlicensed there.

0 Kudos
Reply